一种残膜回收机防缠绕挑膜装置的制 一种秧草收获机用电力驱动行走机构

集成电路中的单元的制作方法

2021-08-27 13:36:00 来源:中国专利 TAG:单元 本案 集成电路 电源 特别
集成电路中的单元的制作方法

本案是关于一种集成电路中的单元,特别是指关于一种具有电源绕线设计的结构的单元。



背景技术:

在过去的四十年间,半导体制造产业由对更高效能(例如,增大的处理速度、记忆容量等)、缩小的形状因数、延长的电池寿命及较低成本的不断需求驱使。回应于此需求,该产业已继续减小半导体装置组件的大小,使得现代集成电路(integratedcircuit;ic)晶片可包含配置在单一半导体晶粒上的几百万或几十亿个半导体装置。



技术实现要素:

根据本案的一实施例,揭示一种在集成电路中的单元,包含第一导体层及上覆第一导体层的第二导体层。第一导体层包含划分成多个导体片段的导体条带及用以连接至第一电压源以提供第一电压信号的第一电力条带。第二导体层上覆于第一导体层且包含用以连接至第二电压源以提供第二电压信号的第二电力条带。其中导体片段中的第一导体片段电连接至第二电力条带以将第二电压信号提供至单元中的第一导体层。

附图说明

本案的一实施例的态样将结合附图通过以下详细描述最佳地了解,在附图中,相似的参考数字指示相似的结构元件。请注意,图中的各种特征未按比例绘制。实际上,为了论述清楚起见,各种特征的尺寸可以任意地增大或减小。

图1根据一些实施例描绘实例集成电路的方块图,本案的一实施例的态样可在该实例集成电路中实践;

图2根据一些实施例图示单元结构的布局;

图3根据一些实施例描绘集成电路的实例布局的一部分;

图4根据一些实施例图示第一金属层的实例第一单元结构定义;

图5根据一些实施例描绘第一金属层的实例第二单元结构定义;

图6根据一些实施例图示第二金属层的实例第一单元结构定义;

图7根据一些实施例描绘第二金属层的实例第二单元结构定义;

图8根据一些实施例图示第二金属层的实例第三单元结构定义;

图9根据一些实施例描绘第三金属层的实例第一单元结构定义;

图10根据一些实施例图示第三金属层的实例第二单元结构定义;

图11a根据一些实施例描绘第一单元的第一金属层的实例布局;

图11b根据一些实施例图示第一单元的第二金属层的实例布局;

图11c根据一些实施例描绘第一单元的第三金属层的实例布局;

图12a根据一些实施例图示第二单元的第一金属层的实例布局;

图12b根据一些实施例描绘第二单元的第二金属层的实例布局;

图12c根据一些实施例图示第二单元的第三金属层的实例布局;

图13a根据一些实施例描绘第三单元的第一金属层的实例布局;

图13b根据一些实施例图示第三单元的第二金属层的实例布局;

图13c根据一些实施例描绘第三单元的第三金属层的实例布局;

图14a根据一些实施例图示集成电路的电力分配网络的实例布局;

图14b根据一些实施例描绘第四单元的第一金属层的实例布局;

图14c根据一些实施例图示图14a所示的实例布局的一部分的放大视图;

图15根据一些实施例描绘图14a所示的第一电力条带的第一电源结构定义的实例布局;

图16根据一些实施例图示图14a所示的第二金属层中的金属条带的第二电源结构定义的实例布局;

图17根据一些实施例描绘图14a所示的第二电力条带的第三电源结构定义的实例布局;

图18根据一些实施例图示集成电路的一区段,该区段包括填充物单元及用于第二电压信号的延长金属条带;

图19根据一些实施例描绘用于第二电压信号的延长金属条带的放大视图;

图20根据一些实施例图示制造集成电路中的单元的方法的流程图;

图21根据一些实施例描绘用于集成电路中的ml1层及ml2层的实例电源绕线;

图22根据一些实施例图示用于图21所示的集成电路中的ml3层的实例电源绕线;

图23根据一些实施例描绘集成电路的第一布局,该第一布局用于描述减小电力条带上的ir压降的方法;

图24根据一些实施例图示集成电路的第二布局,该第二布局用于描述减小电力条带上的ir压降的另一方法;

图25根据一些实施例描绘图24所示的布局中的单元;

图26根据一些实施例图示单元中的各种层及单元中的主动扩散区域与顶部金属层之间的连接;

图27根据一些实施例描绘通过第一设计程序产生的图26所示的单元的第一布局;

图28根据一些实施例图示通过第一设计程序产生的图26所示的单元的第一布局;

图29a至图29b根据一些实施例描绘制造集成电路的实例方法的流程图,该集成电路包括基板中的主动扩散区域与顶部金属层之间的连接;

图30a根据一些实施例图示图29a所示的区块2900;

图30b根据一些实施例描绘图29a所示的区块2904;

图30c根据一些实施例图示图29a所示的区块2908;

图30d根据一些实施例描绘图29a所示的区块2912;

图30e根据一些实施例图示图29b所示的区块2916;

图30f根据一些实施例描绘图29b所示的区块2920;

图30g根据一些实施例图示图29b所示的区块2924;

图30h根据一些实施例描绘图29b所示的区块2928;

图31根据一些实施例图示适合设计集成电路的实例系统;且

图32根据一些实施例描绘实例集成电路制造系统及制造流程的方块图。

【符号说明】

100:记忆装置

102:记忆单元

104:记忆阵列

106:字线

108:行选择电路

110,116,1804:信号线

112:位元线

114:列选择电路

118:处理装置

120:电源供应器

122:电子装置

200:单元结构

201,900,902,1002,1004,1802,1802a,1802b,1802c,1802d,2304a,2304b,2304c,2304d,2304e,2304f,2304g,2404a,2404b,2404c,2500:单元

202:第一金属(ml1)层

202a,202b,202c,202d,202e,202’,204a,204b,204c,204d,204’,206a,206b,206c,206d,206e,502,1410,2102,2104,2306,2310,2406,2504,2506,3020,3028:金属条带

204:第二金属(ml2)层

206:第三金属(ml3)层

208:第一主动扩散区域

210:第二主动扩散区域

212,212a,212b,212c,212d,212e,212f,3004:多晶硅(“聚硅”)线

214a,214b,214c,214d,214e,214f,214g,214h,214h,214j,216,3008:金属扩散(md)区域

216a,216b,216c,216d:元件

218,220,222,224,2110,2112,2204,3024:触点

220,220a,220b,220c,222a,222b,222c,304,304a,1104a,1104b,1108a,1108b,1108c,1108d:触点(contact2)

224a,224b,224c,224d,302:触点(contact1)

vdd:第一电压源/第一电压信号

vss:第二电压源/第二电压信号

w1,w2:宽度

300,1100,1106,1110,1200,1204,1206,1300,1304,1306,1400,1500,1600,1700,1800,2200,2300,2400,2700,2800:布局

400,600:第一单元结构

402,1102,1202,1302:单元边界

403,1112:延伸部分

404:第一延伸部分

406:第二延伸部分

408:距离

410,910:单元高度(ch)

412:ml1间距

414(pw(vdd)),ml1_pw(vdd),916,ml3_pw(vss):电力条带206e的宽度

416(pw(vss)),ml_pw(vss):金属条带202e的宽度

418,420,422:金属片段

424,426:分裂位置

500,1000:第二单元结构定义

504:一个cpp

506:一个半(1.5)cpp

700:第二单元结构

800:第三单元结构

602,702,802:聚硅间距

604,704,804:ml2层间距

904:第一单元结构定义

906,908,1006,1008:单元边缘

912:用于信号的金属条带的数目

914:ml3间距

1402,1402_cell,1402_pg,1402,1806,2502:第一电力条带

1404,1404_cell,1404_pg,1808:第二电力条带

1406:第四单元

1407:区域

1408:m3柱

1502:第一电力条带1402的间距

1602:金属条带1410的间距

1702:第二电力条带1404的间距

1808’:共用的第二电力条带

1810,2308,2408:填充物单元

1812:虚椭圆

2000,2002,2004,2006,2008,2010,2012,2900,2902,2904,2906,2908,2910,2912,2914,2916,2918,2920,2922,2924,2926,2928:区块

2100:电源绕线

2106,2108,2202:电力条带

2302,2402:排

2600:第一连接结构

2602:第二连接结构

2604,3016:vd区域

2606,3012:vg区域

3000:主动扩散区域/主动区域

3002:基板

3006,3010,3014,3018,3022,3026,3030:介电层

3100:系统

3102:处理装置

3104:非暂时性计算机可读储存媒体/储存装置

3106:(可执行)指令

3108:制造工具

3110:总线

3112:输入/输出(i/o)接口

3114:网络接口

3116:网络

3118:(储存)单元库

3120:i/o装置

3122:使用者界面(ui)

3200:制造系统

3202:设计室

3204:遮罩室

3206:ic制造商/制造者(“晶圆厂”)

3208:集成电路(ic)

3210:ic设计布局

3212:遮罩数据准备

3214:遮罩制造

3216:遮罩

3218:晶圆制造

3220:(半导体)晶圆

具体实施方式

以下揭示内容提供用于实施提供的标的的不同特征的许多不同实施例或实例。组件及配置的特征实例将在下文描述以简化本案的一实施例。当然,此等各者仅为实例且不欲为限制性的。举例而言,在随后的描述中的第一特征形成于第二特征上方或上可包括第一特征及第二特征是直接接触地形成的实施例,且亦可包括额外特征可形成于第一特征与第二特征之间,使得第一特征及第二特征不可直接接触的实施例。另外,本案的一实施例可在各种实例中重复参考数字及/或字母。此重复是出于简单及清楚的目的且本身并不规定论述的各种实施例及/或组态之间的关系。

此外,为了方便描述一个元件或特征与另一元件或特征的关系,在本文中可使用空间相对术语,例如“在……下面”、“在……之下”、“下部”、“在……之上”、“在……上方”、“在……下”、“上部”、“顶部”、“前”、“后”及类似术语。空间相对术语意欲涵盖除了诸图中所描绘的定向以外的装置在使用或操作时的不同定向。因为各种实施例中的组件可以许多不同定向定位,所以方向术语仅用于说明性目的且绝不为限制性的。当结合集成电路、半导体装置或电子装置的层使用时,方向术语意欲广义地加以解释,且因此不应解译为排除一或多个介入层或其他介入特征或元件的存在。因此,在本文中描述为形成于另一层上、上方或下或安置在另一层上、上方或下的给定层可通过一或多个额外层与后一层分开。

集成电路常常用于各种电子装置中。集成电路包括单元,该些单元包括提供集成电路的功能性或多个功能性的电子电路(“组件”)。实例组件包括(但不限于)诸如正反器、锁存器、与非(nand)、或(or)、与(and)、反相器及或非(nor)电路的逻辑组件,以及电阻器、电容器、感应器、二极管、晶体管、放大器、缓冲器、记忆体、处理器、比较器及类似物。该些单元通常按网格图案(行及列)配置,具有一或多个金属层以提供至该些单元的触点、信号线及电力线。

本文中揭示的实施例提供集成电路中的一或多个单元的各种记忆单元结构及电源绕线。在一些例子中,实施例减小一或多个金属条带上的ir压降(例如,电压降)。减小ir压降能够改良集成电路的操作及效能。在一个实施例中,一第一金属层中的一电力条带可用于提供一第一电压源(例如,vdd),而一不同金属层中的一电力条带可用于提供一不同的第二电压(例如,vss或接地)。将不同金属层用于提供不同电压源的金属条带允许一个或两个电力条带具有较大宽度,此反而增大金属条带的总面积。增大的面积减小金属条带上的ir压降。

在一些实施例中,该第一金属层相对于该第二金属层不对称。举例而言,该第一金属层可具有用于信号的n个金属条带,而该第二金属层具有用于信号的m个金属条带,其中n不等于m。举例而言,n可小于m。将较少金属条带用于该第一金属层中的信号使得用于该第一电压源的该金属条带的区域具有较大面积。

本文中描述的实施例是关于金属层、金属条带、聚硅层及聚硅线来描述。然而,其他实施例不限于金属层、金属条带、聚硅层及聚硅线。可使用由一或多种导电材料制成的任何合适导体。另外,该些导体可以一或多个导体层形成。

此等及其他实施例是参考图1至图32在下文论述。然而,熟悉此项技术者将容易了解,本文中关于此等图给出的详细描述仅出于解释性目的且不应解释为限制性的。

图1根据一些实施例描绘实例集成电路的方块图,本案的一实施例的态样可在该集成电路中实践。图示的集成电路为记忆装置100,尽管其他实施例不限于此类型的集成电路。记忆装置100包括记忆单元102,该些记忆单元按行及列配置以形成记忆阵列104。记忆装置100可包括任何合适数目个行及列。举例而言,记忆装置包括r数目个行及c数目个列,其中r为大于或等于一的整数且c为大于或等于一的数字。其他实施例不限于记忆单元102的行及列。记忆阵列104中的记忆单元102可按任何合适配置组织。

每一行记忆单元102可操作地连接至一或多个字线(共同为字线106)。字线106可操作地连接至一或多个行选择电路(共同被称为行选择电路108)。行选择电路108基于在信号线110上接收的地址信号而选择一特定字线106。

每一列记忆单元102可操作地连接至一或多个位元线(共同为位元线112)。位元线112可操作地连接至一或多个列选择电路(共同被称为列选择电路114)。列选择电路114基于在信号线116上接收的选择信号而选择一特定位元线112。

处理装置118可操作地连接至记忆阵列104、行选择电路108及列选择电路114。处理装置118可操作以控制记忆阵列104、行选择电路108及列选择电路114的一或多个操作。可使用任何合适的处理装置。实例处理装置包括(但不限于)中央处理单元、微处理器、特殊应用集成电路、图形处理单元、场可程序化门阵列或其组合。

电源供应器120可操作地连接至记忆阵列104及处理装置118。在一些实施例中,电源供应器120亦可操作地连接至行选择电路108及列选择电路114。处理装置118及/或电源供应器120能够与记忆阵列安置在同一电路(例如,巨集(macro))中。在一实例实施例中,巨集是指包括记忆阵列及诸如以下各者的周边设备的记忆体单元:控制区块、输入/输出区块、行解码器电路、列解码器电路等。在其他实施例中,处理装置118及/或电源供应器120可安置于分开的电路中且可操作地连接至巨集(例如,记忆阵列)。

当数据将被写入至记忆单元102(例如,记忆单元102经程序化)或将自记忆单元102读取时,在信号线110上接收到该记忆单元的地址。行选择电路108启动或宣告与该地址相关联的字线106。在信号线116上接收到选择信号,且宣告或启动与该选择信号相关联的位元线112。接着将数据写入至记忆单元102或自记忆单元102读取数据。

记忆装置100、行选择电路108、列选择电路114、处理装置118及电源供应器120包括于电子装置122中。电子装置122可为任何合适的电子装置。实例电子装置包括(但不限于)计算装置,诸如膝上型计算机及平板计算机、蜂巢式电话、电视、汽车、立体声系统及相机。

图2根据一些实施例图示单元结构的布局。实例单元结构200适合用于图1所示的记忆装置中。单元201的单元结构200包括第一金属(ml1)层202、第二金属(ml2)层204及第三金属(ml3)层206。在一非限制性实例中,ml1层202为m0层,ml2层204为m1层,且ml3层206为m2层。单元201的该些层之间的实例连接将结合图26至图28来更详细地描述。

在图示的实施例中,ml1层202中的金属条带202a用以可操作地连接至第一电压源以供应第一电压信号(例如,vdd),且ml3层206中的金属条带206e用以可操作地连接至第二电压源以供应第二电压信号(例如,vss或接地)。相应地,金属条带202a及金属条带206e在本文中被称为电力条带(powerstripe)。ml1层202中的金属条带202b、202c、202d、ml2层204中的金属条带204a、204b、204c、204d及ml3层206中的金属条带206a、206b、206c、206d是用于各种信号。在一实例实施例中,ml1层202中的金属条带202e是用于信号。在另一实例实施例中,金属条带202e是用作第二电压源的内部信号线,该内部信号线在内部将第二电压信号提供至单元201。金属条带202e可为充当介层孔以将ml3层206中的第二电压信号提供至ml1层202的一片段或较短金属条带。

单元201包括安置在x方向上的第一主动扩散区域208及第二主动扩散区域210。第一及第二主动扩散区域208、210可包括鳍结构,该些鳍结构安置于基板(未示出)上且充当单元201中的晶体管(例如,场效晶体管)的主动区域。确切地说,鳍结构可在定位在多晶硅(“聚硅(poly)”)线212a、212b、212c之下时充当晶体管的通道区域及/或在定位在金属条带之下时充当源极区域或漏极区域。在一非限制性实例中,第一主动扩散区域208为p型晶体管的源极/漏极区域且第二主动扩散区域210为n型晶体管的源极/漏极区域。聚硅线212a、212b、212c安置在y方向上且充当单元201中的晶体管的栅极电极。

金属扩散(metal-to-diffusion;md)区域214a、214b、214c是在第一及/或第二主动扩散区域208、210上方且在聚硅线212a、212b、212c之间在y方向上安置。特别地,md区域214a定位在第一主动扩散区域208上方,md区域214b定位在第一及第二主动扩散区域208、210上方,且md区域214c定位在第二主动扩散区域210上方。元件216a、216b、216c、216d安置在x方向上且包括于切割聚硅层中。元件216a、216b、216c、216d在元件216a、216b、216c、216d与聚硅线212a、212b、212c重叠的位置处切除或移除聚硅线212a、212b、212c。触点218(contact2)提供电力条带202a与md区域214a之间的接触。

触点220(contact2)提供md区域214b与金属条带202b之间的接触。触点222(contact2)提供md区域214c与金属条带202e之间的接触。触点224(contact1)提供金属条带202d与聚硅线212b之间的接触。在一个实施例中,contact1触点的位置是由工程师或设计者判定且在布局初始版本中,且contact2触点218、220、222的位置是由电气设计自动化工具判定且添加至该布局初始版本以产生单元结构200的(最终)布局。

在图示的实施例中,由第一电压源供应的第一电压信号是由ml1层中的电力条带206e提供且由第二电压源供应的第二电压信号是由ml3层中的电力条带206e提供。另外,ml1层202的布局相对于ml3层206的布局不对称。举例而言,ml1层202具有可用于信号的n个金属条带(例如,三个金属条带202b、202c、202d),而ml3层206具有可用于信号的m个金属条带(例如,四个金属条带206a、206b、206c、206d),其中n小于m。在ml1层中包括一个电力条带202a且在ml1层202中使用用于信号的n个金属条带允许电力条带202a的宽度w1较大,此使电力条带202a的总面积增大。增大的总面积减小电力条带202a上的ir压降。在一非限制性实例中,电力条带202a的宽度w1可为三十(30)纳米(nm)。

另外或替代地,电力条带206e的宽度w2可较大,此是因为电力条带206e在ml3层中,ml3层为不同于ml1层的金属层。电力条带206e的较大宽度w2使电力条带206e的总面积增大,且电力条带206e的增大的总面积减小电力条带206e上的ir压降。在一非限制性实例中,电力条带的宽度可为二十四(24)nm。

图3根据一些实施例描绘集成电路的实例布局的一部分。集成电路包括诸如以下各者的组件:一或多个与非电路、一或多个及电路、一或多个或电路、一或多个或非电路及/或一或多个反相器。在图示的实施例中,布局300包括处于ml1层202中、安置在x方向上的金属条带202’,及处于ml2层204中、在y方向上安置于ml1层202上方的金属条带204’。ml1层202中的电力条带202a用于在集成电路中发送由第一电压源供应的第一电压信号(例如,vdd)。ml1层202中的金属条带202b包括在切割金属层中且用于在金属条带202b与个别金属条带204’重叠的位置处切除或移除ml2层204中的个别金属条带204’。ml2层204中的金属条带204a用于在ml2层204内发送由第二电压源供应的第二电压信号(例如,vss或接地)且用于将第二电压源连接至ml3金属层。

每一触点302(contact1)提供ml1层202与ml2层204之间的接触。每一触点304(contact2)提供ml1层202与ml2层204之间的接触。触点304a(contact2)提供ml1层202与提供第二电压信号的金属条带204a之间的接触。在一个实施例中,该些contact1触点的位置在该集成电路的布局初始版本中且该些contact2触点的位置是由电气设计自动化工具判定且添加至该布局初始版本以产生布局300。

图4根据一些实施例图示第一金属层的实例第一单元结构定义。如先前所描述,在一个实施例中,ml1层202为m0层且安置在x方向上。第一单元结构400亦包括在y方向上安置于ml1层202上方的聚硅线212a、212b、212c、212d、212e。

所图示的ml1层202的第一单元结构400包括以下各者:电力条带202a,该电力条带用以连接至第一电压源(例如,vdd)以供应第一电压信号;用于信号的金属条带202b、202c、202d;以及金属条带202e,该金属条带用于信号及/或用作为第二电压信号(例如,vss或接地)的内部信号线。电力条带202a以延伸部分403在y方向上延伸超出单元边界402。延伸部分403可由邻近单元(例如,在y方向上在该单元之上且毗邻该单元的另一单元)共用。因此,电力条带202a可由两个邻近单元共用。

如所示,金属条带202e以用于电源绕线的第一延伸部分404及第二延伸部分406在x方向上延伸超出单元边界402。如结合图18及图19将更详细地描述,第一及第二延伸部分404、406是在由两个邻近单元共用的空间(“共用空间”)中实施。金属条带202e中的第一及第二延伸部分404、406考虑到金属条带202e与上部金属层(例如,ml3层206)之间的连接的数目增加。在一非限制性实例中,可操作以提供第二电压信号的ml3层中的金属条带206e可连接至第一及第二延伸部分404、406以在内部将第二电压信号提供至单元。第一延伸部分404的长度可等于或不同于第二延伸部分406的长度。在一个实施例中,第一及第二延伸部分404、406的长度在近似0.5至1倍的接触聚硅间距(contactedpolypitch;cpp)(例如,晶体管栅极间距)的范围内。在图4中,距离408表示聚硅间距,且可为cpp。

在一个实施例中,单元高度(单元高度;ch)410可由方程序ch=(信号轨道数目 0.5)xml1间距 (0.5xml1_pw(vdd)) ml1_pw(vss)界定,其中ml1间距为412(ml1层202中的信号轨道的间距),ml1_pw(vdd)为电力条带202a的宽度414,且ml_pw(vss)为金属条带202e的宽度416。在一非限制性实例中,ch410在近似一百(100)至一百三十(130)nm的范围内。电力条带202a的宽度414(ml1_pw(vdd))在近似(0.25xch)至(0.3xch)的范围内。如早前所论述,电力条带202a的面积可减小vddir压降。为了进一步减小ir压降,金属条带202e的宽度416(ml1_pw(vss))可在近似(0.15xch)至(0.2xch)的范围内。

在一些实施例中,金属条带202e分裂成多个金属片段418、420、422。金属片段418、422用以连接至第二电压源以提供第二电压信号(例如,vss或接地)且金属片段420是用于信号。与金属片段418、420与单元边界402之间的分裂位置424的距离及与金属片段420、422与单元边界402之间的分裂位置426的距离为一个(1)cpp,尽管其他实施例不限于此距离。

图5根据一些实施例描绘第一金属层的实例第二单元结构定义。除了ml1层202中的3.5个金属条带202b、202c、202d、502之外,图5所示的第二单元结构定义500类似于图4所示的第一单元结构400。在一个实施例中,该些金属条带中的一者为用于电力及信号两者的共用信号线。

在一非限制性实例中,第二单元结构定义500可与四输入电路(诸如例如四输入与非或四输入或电路)一起使用。图5亦图示一个cpp504的实例及一个半(1.5)cpp506的实例。在一个实施例中,单元高度410、ml1间距412、宽度414(ml1_pw(vdd))及宽度416(ml1_pw(vss))是如结合图4所描述地组态。

图6根据一些实施例图示第二金属层的实例第一单元结构定义。如早前所描述,在一个实施例中,ml2层204为集成电路中的m1层。另外或替代地,ml2层204是用于发送第二电压信号(例如,vss或接地)。

在图示的实施例中,第一单元结构600包括第一金属条带204a、第二金属条带204b、安置在y方向上的聚硅线212a、212b、212c及在聚硅线212a、212b、212c之间、亦安置在y方向上的md区域214a、214b。ml2层204的图案是由cpp:m1间距的比率界定,该比率在图示的实施例中为1:1。图6亦展示聚硅间距602及ml2层间距604。另外,金属条带204a、204b可对准至包括md区域214a、214b的md层。

图7根据一些实施例描绘第二金属层的实例第二单元结构定义。实例第二单元结构700包括第一金属条带204a、第二金属条带204b、第三金属条带204c、安置在y方向上的聚硅线212a、212b、212c及在聚硅线212a、212b、212c之间在y方向上安置的md区域214a、214b。ml2层204的图案是由cpp:m1间距比率界定,该比率在图示的实施例中为3:2。图7亦展示聚硅间距702及ml2层间距704。另外,金属条带204a、204b可对准至包括md区域214a、214b的md层。

图8根据一些实施例图示第二金属层的实例第三单元结构定义。代表性第三单元结构800包括第一金属条带204a、第二金属条带204b、第三金属条带204c、第四金属条带204d、安置在y方向上的聚硅线212a、212b、212c及在聚硅线212a、212b、212c之间在y方向上安置的md区域214a、214b。ml2层204的图案是由cpp:m1间距比率界定,该比率在图示的实施例中为3:2。图8亦展示聚硅间距802及ml2层间距804。另外,金属条带204a、204b可对准至包括聚硅线212a、212b、212c的聚硅层。

图9根据一些实施例描绘第三金属层的实例第一单元结构定义。两个邻近单元900、902在图9中示出,且单元902中的第一单元结构定义904是单元900中的第一单元结构定义904的镜像。ml3层206的实例第一单元结构定义904包括安置在x方向上的金属条带206a、206b、206c、206d及电力条带206e。聚硅线212a、212b及212c在金属条带206a、206b、206c、206d及电力条带206e之下安置在y方向上。如结合图2所论述,电力条带206e用以连接至第二电压源(例如,vss或接地)以提供第二电压信号,且金属条带206a、206b、206c及206d是用于各种信号。在图9中,单元900中的电力条带206e定位在毗邻单元902的单元边缘908的单元边缘906处。换言之,电力条带206e安置在两个邻近单元900、902的毗邻单元边缘906、908处。

在一个实施例中,单元高度(ch)910是由方程序ch=信号轨道数目x(ml3间距 0.5xml3_pw(vss))判定,其中信号轨道数目为用于信号的金属条带的数目912,ml3间距为ml3层的间距914,且ml3_pw(vss)为电力条带206e的宽度916。在一非限制性实例中,电力条带206e的宽度916在近似(0.25xch)至(0.3xch)的范围内。如早前所论述,在一些实施例中,宽度916可减小vssir压降。

图10根据一些实施例图示第三金属层的实例第二单元结构定义。两个邻近单元1002、1004在图10中示出,且单元1004中的第二单元结构定义1000为单元1002的第二单元结构定义1000的镜像。除了提供第二电压信号(例如,vss或接地)的电力条带206e的位置之外,图10所示的实例第二单元结构定义1000类似于图9所示的第一单元结构定义904。

每一单元1002、1004包括分别处于单元1002、1004的非毗邻单元边缘1006、1008处的电力条带206e。尽管单元边缘1006不毗邻单元边缘1008(因此“非毗邻单元边缘”),单元边缘1006、1008可各自毗邻在y方向是分别邻近于单元1002、1004的单元(未示出)的单元边缘。每一电力条带206e可与邻近单元(例如,在单元1002之上的单元及在单元1004之下的单元)共用。在一个实施例中,单元高度910、ml3间距914及宽度916(ml3_pw(vss))是如结合图9所描述地判定。

图11a至图11c根据一些实施例描绘第一单元的实例单元结构。在一非限制性实例中,该第一单元可实施为四输入与或反电路。图11a根据一些实施例图示第一单元中的第一金属层的实例布局。布局1100包括ml1层中的安置在x方向上的电力条带202a及金属条带202b、202c、202d、202e。电力条带202a用以连接至第一电压源(例如,vdd)以提供第一电压信号,且金属条带202b、202c、202d是用于各种信号。与图4所示的实施例相同,金属条带202e是用于信号及第二电压信号(例如,vss或接地)的内部信号线两者。金属条带202e经划分成金属片段418、420、422,其中金属片段418、422在内部将第二电压信号提供至第一单元且金属片段420是用于信号。

如所示,对于电源绕线,电力条带202a以延伸部分403在y方向上延伸超出单元边界1102的第一侧,金属片段418以第一延伸部分404在x方向上延伸超出单元边界1102的第二侧,且金属片段422以第二延伸部分406在x方向上延伸超出单元边界1102的相对侧(第三侧)。延伸部分403使电力条带202a能够与邻近单元(例如,在第一单元之上的单元)共用。金属条带202e中的第一及第二延伸部分404、406考虑到金属条带202e与上部金属层(例如,ml3层206)之间的连接的数目增加。在一非限制性实例中,ml3层中的电力条带206e(参见图11c)用以连接至第二电压源以供应第二电压信号,可连接至第一及第二延伸部分404、406以在内部将第二电压信号提供至第一单元。另外或替代地,第一或第二延伸部分404、406中的一者或两者可与邻近单元(例如,在第一单元左边及/或右边的单元)共用。

布局1100亦包括安置在x方向上的第一主动扩散区域208及第二主动扩散区域210。在一个实施例中,第一及第二主动扩散区域208、210包括鳍结构,该些鳍结构安置在基板(未示出)上且充当第一单元中的晶体管(例如,场效晶体管)的主动区域。聚硅线212a、212b、212c、212d、212e、212f在ml1层之下安置在y方向上且充当第一单元中的晶体管的栅极电极。

金属扩散(metal-to-diffusion;md)区域214a、214b、214c、214d、214e、214f、214g、214h、214i、214j在第一及/或第二主动扩散区域208、210上方且在聚硅线212a、212b、212c、212d、212e、212f之间安置在y方向上。特别地,md区域214a、214b、214c、214d、214e定位在第一主动扩散区域208上方,且md区域214f、214g、214h、214i、214j安置在第二主动扩散区域210上方。

触点224a、224b、224c、224d(contact1)各自提供至各自聚硅线212b、212c、212d、212e的触点。触点220a、220b、220c(contact2)各自提供md区域214a、214c、214e分别与金属条带202b之间的接触。触点222a(contact2)提供md区域214f与金属条带202e中的金属片段418之间的接触。触点222b(contact2)提供md区域214h与金属条带202e中的金属片段420之间的接触。触点222c(contact2)提供md区域214j与金属条带202e中的金属片段422之间的接触。触点1104a(contact2)提供电力条带202a与md区域214b之间的接触。触点1104b(contact2)提供金属条带202c与md区域214d之间的接触。

图11b根据一些实施例图示第一单元的第二金属层的实例布局。布局1106描绘安置在x方向上的ml1层的电力条带202a及金属条带202b、202c、202d、202e。ml2层的金属条带204a、204b、204c在y方向上定位在ml1层上方。聚硅线212a、212b、212c、212d、212e、212f安置在y方向上。

触点1108a、1108b、1108c、1108d各自提供ml2层与ml1层之间的接触。特别地,触点1108a为金属条带204a与金属条带202d之间的触点。触点1108b为金属条带204b与金属条带202c之间的触点。触点1108c为金属条带204b与金属条带202e之间的触点。触点1108d为金属条带204c与金属条带202d之间的触点。

在一个实施例中,contact1触点224a、224b、224c、224d(图11a)的位置是由工程师或设计者判定且在布局初始版本中,且contact2触点220a、220b、220c、222a、222b、222c、1104a、1104b、1108a、1108b、1108c、1108d(图11a及图11b)的位置是由电气设计自动化工具判定且添加至该布局初始版本以产生布局1100及1106。

图11c根据一些实施例描绘第一单元的第三金属层的实例布局。布局1110展示定位在y方向上的ml2层的金属条带204a、204b、204c及安置在y方向上的聚硅线212a、212b、212c、212d、212e、212f。ml3层的电力条带206e在ml2层上方安置在x方向上。电力条带206e以延伸部分1112在y方向上延伸超出单元边界1102且由邻近单元(例如,在第一单元之下的单元)共用。电力条带206e将第二电压信号(例如,vss或接地)提供至第一单元。

图12a至图12c根据一些实施例图示第二单元的实例单元结构。在一非限制性实例中,第二单元可实施为反相器电路。图12a根据一些实施例图示第二单元中的第一金属层的实例布局。布局1200包括安置在x方向上的ml1层的电力条带202a及金属条带202b、202c、202d、202e。与图11a类似,电力条带202a可操作以连接至第一电压源(例如,vdd)以供应第一电压信号且金属条带202b、202c、202d是用于各种信号。在图示的实施例中,金属条带202e是未划分成金属片段的不中断金属条带。金属条带202e被用作第二电压信号(例如,vss或接地)的内部信号线。

如所示,对于电源绕线,电力条带202a以延伸部分403在y方向上延伸超出单元边界1202的第一侧,且金属条带202e以第一延伸部分404在x方向上延伸超出单元边界1202的第二侧且以第二延伸部分406在x方向上延伸超出单元边界1202的相对侧(例如,第三侧)。延伸部分403使电力条带202a能够与邻近单元(例如,在第二单元之上的单元)共用。第一及第二延伸部分404、406考虑到金属条带202e与上部金属层(例如,ml3层206)之间的连接的数目增加。在一非限制性实例中,可操作以连接至第二电压源以供应第二电压信号的ml3层中的电力条带206e(图12c)可连接至第一及第二延伸部分404、406以在内部将第二电压信号提供至第二单元。另外或替代地,第一或第二延伸部分404、406中的一者或两者可与邻近单元(例如,在第二单元左边及/或右边的单元)共用。第一延伸部分404的长度可等于或不同于第二延伸部分406的长度。

布局1200亦包括安置在x方向上的第一主动扩散区域208及第二主动扩散区域210。在一个实施例中,第一及第二主动扩散区域208、210包括鳍结构,该些鳍结构安置在基板(未示出)上且充当第二单元中的晶体管(例如,场效晶体管)的主动区域。聚硅线212a、212b、212c在ml1层之下安置在y方向上且充当第二单元中的晶体管的栅极电极。

金属扩散(metal-to-diffusion;md)区域214a、214b、214c在第一及/或第二主动扩散区域208、210上方且在聚硅线212a、212b、212c之间安置在y方向上。特别地,md区域214a定位在第一主动扩散区域208及第二主动扩散区域210上方。md区域214b定位在第一主动扩散区域208上方,且md区域214c定位在第二主动扩散区域210上方。

触点224a(contact1)提供至聚硅线212b的接触。触点220a(contact2)提供md区域214a与金属条带202b之间的接触。触点222a(contact2)提供md区域214c与金属条带202e之间的接触。触点1104a(contact2)提供电力条带202a与md区域214b之间的接触。

图12b根据一些实施例描绘第二单元的第二金属层的实例布局。布局1204描绘安置在x方向上的ml1层的电力条带202a及金属条带202b、202c、202d、202e。ml2层的金属条带204a在ml1层上方定位在y方向上。聚硅线212a、212b、212c安置在y方向上。

触点(contact2)1108a提供ml2层中的金属条带204a与ml1层中的金属条带202b之间的接触。在一个实施例中,contact1触点224a(图12a)的位置是由工程师或设计者判定且在布局初始版本中,且contact2触点220a、222a、1104a、1108a的位置是由电气设计自动化工具判定且添加至该布局初始版本以产生布局1200及1204。

图12c根据一些实施例图示第二单元的第三金属层的实例布局。布局1206展示定位在y方向上的ml2层的金属条带204a及定位在y方向上的聚硅线212a、212b、212c。ml3层的电力条带206e在ml2层上方安置在x方向上。电力条带206e将第二电压信号(例如,vss或接地)提供至第二单元。电力条带206e以延伸部分1112在y方向上延伸超出单元边界1202的第四侧,使得电力条带206e由邻近单元(例如,在第二单元之下的单元)共用。

图13a至图13c根据一些实施例图示第三单元的实例单元结构。在一非限制性实例中,第三单元可实施为与非电路。图13a根据一些实施例描绘第三单元的第一金属层的实例布局。布局1300包括安置在x方向上的ml1层的电力条带202a及金属条带202b、202c、202d、202e。电力条带202a可操作以连接至第一电压源(例如,vdd)以供应第一电压信号,且金属条带202b、202c、202d是用于各种信号。与图12a相同,金属条带202e是用作第二电压信号(例如,vss或接地)的内部信号线的不中断条。

如所示,对于电源绕线,电力条带202a以延伸部分403在y方向上延伸超出单元边界1302的第一侧,且金属条带202e以第一延伸部分404在x方向上延伸超出单元边界1302的第二侧且以第二延伸部分406在x方向上延伸超出单元边界1302的相对侧(例如,第三侧)。延伸部分403使电力条带202a能够与邻近单元(例如,在第三单元之上的单元)共用。金属条带202e中的第一及第二延伸部分404、406考虑到金属条带202e与上部金属层(例如,ml3层206)之间的连接的数目增加。在一非限制性实例中,用以连接至第二电压源以供应第二电压信号的ml3层中的金属条带206e(图13c)可连接至第一及第二延伸部分404、406以在内部将第二电压信号提供至第一单元。另外或替代地,第一或第二延伸部分404、406中的一者或两者可与邻近单元(例如,在第三单元左边及/或右边的单元)共用。第一延伸部分404的长度可等于或不同于第二延伸部分406的长度。

布局1300亦包括安置在x方向上的第一主动扩散区域208及该第二主动扩散区域210。在一个实施例中,第一及第二主动扩散区域208、210包括鳍结构,该些鳍结构安置在基板(未示出)上且充当第三单元中的晶体管(例如,场效晶体管)的主动区域。聚硅线212a、212b、212c、212d在ml1层之下安置在y方向上且充当第二单元中的晶体管的栅极电极。

金属扩散(metal-to-diffusion;md)区域214a、214b、214c、214d、214e是在第一及/或第二主动扩散区域208、210上方且在聚硅线212a、212b、212c、212d之间安置在y方向上。特别地,md区域214a定位在第一主动扩散区域208及第二主动扩散区域210上方。md区域214b、214c安置在第一主动扩散区域208上方,且md区域214d、214e定位在第二主动扩散区域210上方。

触点224a、224b(contact1)各自提供至各自聚硅线212b、212c的接触。触点220a(contact2)提供md区域214a与金属条带202b之间的接触。触点220b(contact2)提供md区域214c与金属条带202b之间的接触。触点222a(contact2)提供md区域214e与金属条带202e之间的接触。触点1104a(contact2)提供电力条带202a与md区域214b之间的接触。

图13b根据一些实施例图示第三单元的第二金属层的实例布局。布局1304描绘安置在x方向上的ml1层的电力条带202a及金属条带202b、202c、202d、202e。ml2层的金属条带204a在ml1层上方定位在y方向上。聚硅线212a、212b、212c、212d安置在y方向上。

触点1108a提供ml2层中的金属条带204a与ml1层中的金属条带202b之间的接触。在一个实施例中,contact1触点224a、224b(图13a)的位置是由工程师或设计者判定且在布局初始版本中,且contact2触点220a、220b、222a、1104a、1108a的位置是由电气设计自动化工具判定且添加至该布局初始版本以产生布局1300及1304。

图13c根据一些实施例描绘第三单元的第三金属层的实例布局。布局1306展示定位在y方向上的ml2层的金属条带204a及安置在y方向上的聚硅线212a、212b、212c、212d。ml3层的电力条带206e在ml2层上方安置在x方向上。电力条带206e可操作以连接至第二电压源以将第二电压信号(例如,vss或接地)供应至第三单元。电力条带206e以延伸部分1112在y方向上延伸超出单元边界1302的第四侧且由邻近单元(例如,在第三单元之下的单元)共用。

图14a根据一些实施例图示集成电路的电力分配网络的实例布局。图14a将结合图14b及图14c来描述。根据一些实施例,图14b描绘第四单元的第一金属层中的电力条带的实例布局且图14c图示图14a所示的实例布局的一部分的放大视图。

在一些实施例中,电力分配网络是在将第四单元置放在晶片上之前产生。布局1400包括安置在x方向上的ml1层的两个第一电力条带1402_pg。ml3层的第二电力条带1404_pg安置在x方向上。如所示,第二电力条带1404_pg定位在该两个第一电力条带1402_pg之间。在一非限制性实例中,第一电力条带1402_pg为图2所示的电力条带202a,且第二电力条带1404_pg为图2所示的电力条带206e。

图14b描绘第四单元1406中的第一电力条带1402_cell,且图14c为图14a所示的的区域1407的放大视图。图14c图示第四单元中的第二电力条带1404_cell。为了将第四单元1406定位在晶片上的正确位置,1402_cell及1404_cell与1402_pg及1404_pg对准,使得1402_cell及1404_cell与1402_pg及1404_pg重叠。1402_cell及1404_cell与1402_pg及1404_pg连接。

布局1400进一步描绘将ml3层连接至第一电力条带1402_pg以将第一电压信号(例如,vdd)提供至ml3层的实例方法。如图14a所示,m3柱1408形成于ml3层中且安置在x方向上。m3柱1408为短金属片段且用于提供至第一电力条带1402_pg的连接。m3柱1408占用或消耗较少的绕线资源。

ml2层的金属条带1410安置在y方向上且将ml3层中的m3柱1408连接至第一电力条带1402_pg。金属条带1410可提供具有较低电阻的单元电力连接或pg连接。在一非限制性实例中,单元电力连接是由电子设计自动化工具判定。在一个实施例中,第二电力条带1404_cell的宽度ml3_pw(vss)=1~2x(m1柱的宽度(ml1_pw(vss))。

图15根据一些实施例描绘图14a所示的第一电力条带的第一电源结构定义的实例布局。布局1500包括安置在x方向上的多个第一电力条带1402(例如,电力条带202a)。在图示的实施例中,第一电力条带1402的间距1502为(2xch),尽管其他实施例不限于此间距。而且,代表性布局1500不包括用于第二电压信号(例如,vss或接地)的ml1层中的电力条带。

图16根据一些实施例图示图14a所示的第二金属层中的金属条带的第二电源结构定义的实例布局。布局1600包括安置在x方向上的ml1层中的第一电力条带1402及定位在y方向上的ml2层中的金属条带1410。在图示的实施例中,金属条带1410提供第一电压信号(例如,vdd)且金属条带1410的间距1602为近似16至24倍的聚硅间距,尽管其他实施例不限于此间距。而且,代表性布局1600未描绘用于第二电压信号(例如,vss或接地)的ml2层中的金属条带,此是因为,在一个实施例中,用于第二电压信号的金属条带是由电气设计自动化工具设计。

图17根据一些实施例描绘图14a所示的第二电力条带的第三电源结构定义的实例布局。布局1700包括ml1层中的第一电力条带1402、ml2层中的金属条带1410、ml3层中的第二电力条带1404及m3柱1408。在图示的实施例中,第二电力条带1404的间距1702为(2xch),尽管其他实施例不限于此间距。而且,代表性布局1700未描绘用于第二电压信号(例如,vss或接地)的ml2层中的金属条带、至电力条带1404的任何触点及至m3柱的任何额外触点,此是因为,在一些实施例中,用于第二电压信号的金属条带、至电力条带1404的触点、至m3柱的额外触点是由电气设计自动化工具设计。

图18根据一些实施例图示集成电路的一区段的布局,该区段包括填充物单元及用于第二电压信号的延长金属条带。布局1800包括单元1802、信号线1804、用于第一电压信号(例如,vdd)的第一电力条带1806(例如,图2中的202a)及用于第二电压信号(例如,vss或接地)的第二电力条带1808(例如,图2中的206e)。在一个实施例中,单元1802可包括第一电力条带202a,如图4的布局所示,且所描绘的信号线1804、第一电力条带1806及第二电力条带1808可在ml1层中。

布局1800进一步包括填充物单元1810。填充物单元1810包括单元1802中的全部组件,但填充物单元1810不含主动区域与金属层之间的连接。填充物单元1810是用于维持层密度以在制造/处理操作期间改良良率。

在一些例子中,第二电力条带1808由两个邻近单元共用(参见图19)。如虚椭圆1812内的区域中所示,共用的第二电力条带1808’跨越填充物单元1810延伸至两个邻近单元1802a、1802b。在一个实施例中,共用的电力条带1808’包括图4中的第一及第二延伸部分404、406(或由该些延伸部分形成)。举例而言,一个单元1802a中的金属片段422及邻近单元1802b中的金属片段418形成一个片段1808’(或包括在该一个片段中),且该一个片段1808’驻留在两个邻近单元1802a、1802b中及个别填充物单元1810中。另外或替代地,第一电力条带1806(例如,图4中的第一电力条带202a)由邻近单元1802c、1802d共用且驻留在邻近单元1802c、1802d中。

图20根据一些实施例描绘用于设计集成电路中的单元的方法的流程图。最初,(导致)接收或提供该单元的一单元布局(区块2000)。该单元布局可包括用于一特定单元类型的不同金属层(例如,ml1层、ml2层、ml3层)的金属条带,其中该单元类型可为集成电路中的该单元相关联的组件的类型。实例单元类型包括(但不限于)与电路、或非电路、与非电路、或电路及反相器电路。

接下来,如区块2002所示,提供或接收(或导致接收)该单元中的电源结构。该些电源结构界定供应该单元中的电压信号的电力条带的布局。本文中所描述的实施例包括一第一电压信号(例如,vdd)及一第二电压信号(vss或接地)。实例电源结构是结合图15至图17来描述。

在区块2004,判定或导致判定一或多个触点的置放。实例触点包括至金属条带、电力条带、聚硅线及/或主动扩散区域的触点。初始布局在区块2004完成之后产生。

在区块2006,合成(或导致合成)用于初始布局的一或多个时脉信号。在一个实施例中,使用电气设计自动化工具来合成该(该些)时脉信号。合成该些时脉信号,使得可判定该初始布局的功能性及功能性上的任何问题。举例而言,能够判定ml1层中的用于第二电压信号的电力条带中的一第一或一第二延伸部分(例如,图4中的延伸部分404或406)是否接触用于信号的金属条带,该接触可造成单元的操作问题。

一旦该一或多个时脉信号经合成,即在区块2008判定(或导致判定)用于信号的金属条带及/或额外触点的绕线以产生一最终布局。在一个实施例中,区块2008是由电气设计自动化工具执行,同时电气设计自动化工具合成该(该些)时脉信号。

在区块2010作出关于是否处理相同或不同单元类型的另一单元的判定。若处理,则该方法返回区块2000,且区块2000、2002、2004、2006、2008、2010重复,直至所有单元已设计或经处理。当所有单元已设计时,程序在区块2012继续,在区块2012,所有单元的最终布局共同形成ic的最终布局。验证ic的最终布局且基于该最终布局来制造ic。

图21根据一些实施例图示用于集成电路中的ml1层及ml2层的实例电源绕线。电源绕线2100描绘ml1层中的金属条带2102及ml2层中的金属条带2104的绕线。ml2层中的电力条带2106用以供应第一电压信号(例如,vdd),且ml2层中的电力条带2108用以提供第二电压信号(例如,vss或接地)。触点2110及触点2112提供ml1层中的金属条带2102与ml2层中的金属条带2104或电力条带2106、2108之间的接触。如早前所描述,触点2112的置放可由电气设计自动化工具判定。

图22根据一些实施例描绘用于图21所示的集成电路中的ml3层的实例电源绕线。布局2200包括ml1层及ml2层中的金属条带、电力条带及触点,且描绘ml3层中的电力条带的实例绕线。在一个实施例中,电力条带2202可操作以提供第二电压信号(例如,vss或接地)。第二电压信号是经由至ml1层中的电力条带2108(例如,图2中的金属条带202e)的触点2204提供至电力条带。在图22中,电力条带2108展示在电力条带2202之上以强调电力条带2108。实务上,电力条带2108是在电力条带2202之下形成,此是因为电力条带2108在ml2层中,而电力条带2202在ml3层中。

图23根据一些实施例图示集成电路的第一布局,该第一布局用于描述减小电力条带上的ir压降的方法。在一个实施例中,一填充物单元可用于减小电力条带的长度,此减小电力条带上的ir压降。如图23所示,布局2300包括单元2304a、2304b、2304c、2304d、2304e、2304f、2304g的一排2302。ml1层中的金属条带2306(例如,图2中的金属条带202e)是通过插入具有非操作组件的一填充物单元2308而经实体切割。填充物单元2308将金属条带2306划分成多个金属片段。实例填充物单元2308包括ml1层中的金属条带2310,但不包括金属条带2306。

在一些例子中,不包括金属层的填充物单元可用于减小电力条带上的ir压降。图24根据一些实施例描绘集成电路的第二布局,该第二布局用于描述减小电力条带上的ir压降的另一方法。布局2400包括单元2404a、2404b、2404c的一排2402。ml1层中的金属条带2406(例如,图2中的金属条带202e)可操作以连接至第二电压源以供应第二电压信号(例如,vss或接地)。填充物单元2408用于破坏金属条带2406(例如,分成多个金属片段)。图示的填充物单元2408不包括ml1层中的任何金属条带。

图25根据一些实施例图示图24所示的布局中的单元。单元2500包括可操作以连接至第一电压源以供应第一电压信号的第一电力条带2502(例如,图2中的电力条带202a),及用于信号的金属条带2504。用于第二电压信号的ml1层中的金属条带2506(例如,图2中的金属条带202e)亦在单元2500中。在图示的实施例中,第一电力条带2502与在单元2500之上且毗邻单元2500的单元共用,但金属条带2506不包括任何延伸部分(例如,图4中的延伸部分404、406)。

图26根据一些实施例描绘单元中的各种层及单元中的主动扩散区域与顶部金属层之间的连接。在图示的实施例中,顶部金属层为ml2层。图26展示作为第一连接结构2600的md区域至ml2层连接及作为第二连接结构2602的聚硅线至ml2层连接。为清楚起见,图26省略可包括在连接结构2600、2602中的其他层及组件。

在第一连接结构2600中,md区域216定位在主动扩散区域208(或主动扩散区域210)上方。如先前所描述,主动扩散区域(例如,图2中的主动扩散区域208、210)可包括一或多个鳍结构,该一或多个鳍结构安置在基板(未示出)上且充当单元中的晶体管(例如,场效晶体管)的主动区域。

导电性介层孔扩散(“via-to-diffusion;vd”)区域2604定位在md区域216上方。vd区域2604可将md区域216连接至ml1层中的金属条带202(例如,金属条带202b),其中ml1层定位在vd区域2604上方。触点220(例如,触点220a)定位在金属条带202上方。ml2层中的金属条带204(例如,金属条带204a)定位在触点220上方。触点220提供ml1层中的金属条带202与ml2层中的金属条带204之间的接触。

在第二连接结构2602中,聚硅线212定位在主动扩散区域208(或主动扩散区域210)上方。导电性介层孔至栅极(“via-to-gate;vg”)区域2606定位在聚硅线212上方。vg区域2606将聚硅线212(例如,聚硅栅极)连接至ml1层中的金属条带202(例如,金属条带202d),其中ml1层定位在vg区域2606上方。触点220(例如,触点220b)定位在金属条带202上方。ml2层中的金属条带204(例如,金属条带204b)定位在触点220上方。触点220提供ml1层中的金属条带202与ml2层中的金属条带204之间的接触。

图27根据一些实施例图示通过第一设计程序产生的图26所示的单元的第一布局。布局2700包括安置在x方向上的第一主动扩散区域208及第二主动扩散区域210。在一非限制性实例中,第一主动扩散区域208为p型晶体管的源极/漏极区域,而第二主动扩散区域210为n型晶体管的源极/漏极区域。ml1层202在x方向上安置在第一及第二主动扩散区域208、210上方。特别地,ml1层202包括金属条带202b、202d。

md区域216在y方向上定位在第一及第二主动扩散区域208、210上方。vd区域2604及触点220安置在md区域216上方。vd区域2604在图27中不可见,此是因为vd区域2604在触点220之下。尽管在图27中未示出,但ml2层的金属条带204将定位在触点220上方。

聚硅线212在y方向上定位在第一及第二主动扩散区域208、210上方。vg区域2606及触点220安置在聚硅线212上方。vg区域2606在图27中不可见,此是因为vg区域2606在触点220之下。尽管在图27中未示出,但ml2层的金属条带204将定位在触点220上方。

图28根据一些实施例描绘通过第二设计程序产生的图26所示的单元的第二布局。在一非限制性实例中,布局2800由电气设计自动化工具产生。布局2800包括安置在x方向上的ml1层202(包括金属条带202b)、定位在y方向上的ml2层204(包括金属条带204a)、vd区域2604及触点220(例如,触点220a)。vd区域2604在图28中不可见,此是因为vd区域2604在触点220之下。

图29a至图29b根据一些实施例描绘制造集成电路的实例方法的流程图,该集成电路包括基板中的主动扩散区域与顶部金属层之间的连接。所图示的程序可用于形成集成电路中的各种层,诸如一或多个金属层、一或多个vg层、一或多个md层、一或多个vd层及触点。该方法可用于制造图26所示的第一及第二连接结构2600、2602。图29a至图29b中图示的该实例方法是结合图30a至图30h描述。图30a至图30h描绘图29a至图29b所示的区块2900、2904、2908、2912、2916、2920、2924及2928。

最初,如区块2900所示,在一基板中形成该些主动扩散区域。如早前所描述,该些主动扩散区域包括集成电路中的晶体管的源极/漏极区域。在一非限制性实例中,至少一个主动扩散区域为一或多个p型晶体管的源极/漏极区域且至少一个其他主动扩散区域为一或多个n型晶体管的源极/漏极区域。

图30a展示形成于基板3002中的主动扩散区域3000(例如,主动扩散区域208或210)。基板3002可为任何合适类型的基板。实例基板包括(但不限于)硅基板、砷化镓基板、绝缘体上硅基板、氮化镓基板及碳化硅基板。另外,任何合适方法可用于形成主动扩散区域。在一非限制性实例中,该些主动扩散区域是通过将一或多种n型掺杂剂及一或多种p型掺杂剂植入至基板3002中而形成。

在区块2902,在该些主动扩散区域上方形成一多晶硅(“聚硅(poly)”)层。任何合适方法可用于在该些主动扩散区域上方形成该聚硅层。在一实例实施例中,在该些主动扩散区域上方形成一遮罩层且显影(例如,蚀刻)该遮罩层以产生开口,该些开口暴露该基板的一表面且界定将在主动区域上方形成的聚硅线的位置。该聚硅层接着沉积在该遮罩层上方且多晶硅材料填充该遮罩层中的该些开口以在该基板中的该些主动扩散区域上方产生该些聚硅线。

在区块2904,在该聚硅层及该些主动扩散区域上方形成一介电层。该介电层使该聚硅层中的该些聚硅线彼此电绝缘。任何合适方法可用于形成该介电层。举例而言,该介电层可沉积在该聚硅层及该些主动扩散区域上方。图30b展示形成于主动区域3000上方的聚硅层中的聚硅线3004及形成于聚硅线3004及主动扩散区域3000上方的介电层3006。聚硅线3004表示一或多个聚硅线。在一些实施例中,聚硅线3004为聚硅线212及212a至212f(参见例如图2、图4至图13c、图26、图27)。

接下来,如区块2906所示,在该些主动扩散区域上方形成一md层。任何合适方法可用于在该些主动扩散区域上方形成该md层。在一非限制性实例中,形成于该介电层上方一遮罩层且显影(例如,蚀刻)该遮罩层以产生开口,该些开口暴露该基板的一表面且界定将在该些主动扩散区域上方形成的md区域的位置。该md层接着沉积在该遮罩层上方且该md层中的材料填充该遮罩层中的该些开口以在该基板中的该些主动扩散区域上方形成该些md区域。

在区块2908,在该md层上方形成一介电层。该介电层使该md层中的该些md区域彼此电绝缘。任何合适方法可用于形成形成该介电层。举例而言,该介电层可沉积在该md层上方。图30c展示形成于主动区域3000上方的md区域3008及形成于md区域3008上方的介电层3010。集成电路可包括一或多个md区域3008。在一些实施例中,md区域3008为md区域214a至214i(参见例如图2、图6至图8、图11a、图12a、图13a、图26、图27)。

在区块2910,在ic上方形成一vg层。在一些实施例中,在区块2910,在该聚硅层上方形成该vg层。任何合适方法可用于在ic(例如,该聚硅层)上方形成该vg层。在一实例实施例中,在该介电层上方形成一遮罩层且显影(例如,蚀刻)该遮罩层以产生开口,该些开口暴露该聚硅层的一表面且界定将在该聚硅层中的该些聚硅线上方形成的vg区域的位置。该vg层接着沉积在该遮罩层上方且该vg层中的材料填充该遮罩层中的该些开口以在该些聚硅线上方产生vg区域。

在区块2912,在该vg层上方形成一介电层。该介电层使该vg层中的该些vg区域彼此电绝缘。任何合适方法可用于形成该介电层。举例而言,该介电层可沉积在该vg层上方。图30d展示形成于聚硅线3004上方的vg区域3012及形成于vg区域3012上方的介电层3014。集成电路可包括一或多个vg区域3012。在一些实施例中,vg区域3012为图26所示的vg区域2606。另外或替代地,一或多个vg区域3012可在除连接结构2602中的位置(图26)外的位置处形成。

在区块2914,在ic上方形成一vd层。在一些实施例中,在区块2914,在该md层上方形成该vd层。任何合适方法可用于在ic(例如,该md层)上方形成该vd层。举例而言,在该介电层上方形成一遮罩层且显影(例如,蚀刻)该遮罩层以产生开口,该些开口暴露该md层的一表面且界定将在该md层中的md区域上方形成的vd区域的位置。该vd层接着沉积在该遮罩层上方且该vd层中的材料填充该遮罩层中的该些开口以在该些md区域上方产生vd区域。

在区块2916,在该vd层上方形成一介电层。该介电层使该vd层中的该些vd区域彼此电绝缘。任何合适方法可用于形成该介电层。举例而言,该介电层可沉积在该vd层上方。图30e展示形成于md区域3008上方的vd区域3016及形成于vd区域3016上方的介电层3018。集成电路可包括一或多个vd区域3016。在一些实施例中,vd区域3016为图26所示的vd区域2604。另外或替代地,一或多个vd区域3016可在除连接结构2600(图26)中的位置以外的位置处形成。

在区块2918,在ic上方形成一金属层。在一些实施例中,在区块2918,在该vd层及该vg层上方形成该金属层。另外或替代地,一或多个填充物单元在该金属层形成时形成。任何合适方法可用于在ic上方(例如,在vd层及vg层上方)形成金属层。举例而言,在该介电层上方形成一遮罩层且显影(例如,蚀刻)该遮罩层以产生开口,该些开口暴露该vd层及该vg层的表面且界定将在该vd区域及该vg区域上方形成的金属条带的位置。该金属层接着沉积在该遮罩层上方且该金属层中的导电材料填充该遮罩层中的该些开口以在该vd区域及该vg区域上方形成金属条带。

在一些实施例中,金属层中的至少一个金属条带是如本文中所揭示地形成。举例而言,在一些实施例中,至少一个金属条带经制造以如本文中揭示地延伸超出单元的边界(例如,图2中的电力条带202a、202e、206e、图4中的延伸部分403、404、406)。另外或替代地,金属层中的至少一个金属条带形成为如本文中揭示的多个金属片段(参见例如,图2中的202e、图4中的418、420、422及图23中的2306)。在一些实施例中,金属层中的至少一个金属条带经制造以如本文中描述地延伸跨越填充物单元(例如,图18及图19中的片段1808’)。在一些实施例中,金属层中的金属条带中的一些是以此等实施例的组合形成。金属片段中的至少一者及/或延长金属条带中的至少一者能够可操作地连接至电压源以提供电压信号(例如,vdd或vss)。

在区块2920,在该金属层上方形成一介电层。该介电层使该金属层中的该些金属条带彼此电绝缘。任何合适方法可用于形成该介电层。举例而言,该介电层可沉积在该金属层上方。图30f展示形成于vg区域3012上方及vd区域3016上方的金属条带3020及形成于金属条带3020上方的介电层3022。集成电路可包括配置为信号线及/或电力条带的一或多个金属条带。在一些实施例中,金属条带3020为金属条带202a至202e、1402、1804、1806、1808、2102、2306、2406(参见例如图2至图5、图11a、图12a、图13a、图14a至图14b、图15、图16、图18、图21、图23、图24及图26至图28)。

在区块2922,在该金属层上方形成一接触层。任何合适方法可用于形成该接触层。举例而言,在该介电层上方形成一遮罩层且显影(例如,蚀刻)该遮罩层以产生开口,该些开口暴露该金属层的一表面且界定将在金属条带上方形成的触点的位置。该接触层接着沉积在该遮罩层上方且该接触层中的导电材料填充该遮罩层中的该些开口以在金属条带上方形成触点。

在区块2924,在该接触层上方形成一介电层。该介电层使该接触层中的该些触点彼此电绝缘。任何合适方法可用于形成该介电层。举例而言,该介电层可沉积在该接触层上方。图30g展示形成于金属条带3020上方的触点3024及形成于触点3024上方的介电层3026。集成电路可包括一或多个触点3024。在一些实施例中,触点为触点218、220、220a至220c、222、224、224a至224d、302、304、304a(参见例如图2、图3、图11a、图21、图22及图26至图28)。

在区块2926,在ic上方形成一金属层。在一些实施例中,在区块2926,在该接触层上方形成该金属层。另外或替代地,一或多个填充物单元在该金属层形成时形成。任何合适方法可用于在ic上方(例如,在该接触层上方)形成该金属层。举例而言,在该介电层上方形成一遮罩层且显影(例如,蚀刻)该遮罩层以产生开口,该些开口暴露该接触层的表面且界定将在该些触点上方形成的金属条带的位置。该金属层接着沉积在该遮罩层上方且该金属层中的导电材料填充该遮罩层中的该些开口以在这些触点上方产生金属条带。

在一些实施例中,金属层中的至少一个金属条带是如本文中所揭示地形成。举例而言,在一些实施例中,至少一个金属条带经制造以如本文中揭示地延伸超出单元的边界。另外或替代地,金属层中至少一个金属条带形成为如本中描述的多个金属片段。在一些实施例中,金属岑中的至少一个金属条带经制造以如本文中描述地延伸跨越填充物单元及/或作为金属柱。在一些实施例中,金属层中的金属条带中的一或多者是以所揭示实施例中的两个或多个的组合形成。在一些实施例中,至少一个金属片段及/或至少一个延长金属条带能够可操作地连接至电压源以提供电压信号(例如,vdd或vss)。另外或替代地,金属柱可以可操作地连接至电力条带。

在区块2928,在该金属层上方形成一介电层。该介电层使该金属层中的该些金属条带线彼此电绝缘。任何合适方法可用于形成该介电层。举例而言,该介电层可沉积在该金属层上方。图30h展示形成于触点3024上方的金属条带3028及形成于金属条带3028上方的介电层3030。集成电路可包括配置为信号线、电力条带及/或金属柱的一或多个金属条带。在一些实施例中,金属条带3028为金属条带204、204a至204d、1410(参见例如图2、图3、图6至图8、图11a至图11c、图12a至图12c、图13a至图13c、图16、图17、图21、图22、图26及图28)。

尽管图29a至图30h描绘产生两个金属层中的金属条带(例如,金属条带3020、3028)的程序,但其他实施例不限于两个金属层。实施例能够形成连接结构中的一或多个金属层。举例而言,每一金属层能够通过在下伏层(例如,下伏介电层)上方形成一遮罩层来产生。显影(例如,蚀刻)该遮罩层以产生开口,该些开口暴露相同或不同下伏层(例如,下伏导电层或接触层)的表面。该些开口界定将在该下伏层上方形成的金属条带的位置。该金属层接着沉积在该遮罩层上方且该金属层中的导电材料填充该遮罩层中的该些开口以在金属层中产生金属条带。

在一些实施例中,集成电路中的金属层中的一或多者中的至少一个金属条带是如本文中所揭示地形成。举例而言,在一些实施例中,至少一个金属条带经制造以如本文中揭示地延伸超出单元的边界。另外或替代地,至少一个金属条带如本文中描述地形成为多个金属片段。在一些实施例中,至少一个金属条带经制造以延伸跨越填充物单元及/或作为如本文中描述的金属柱。在一些实施例中,一或多个金属条带是以所揭示实施例中的两个或多个的组合形成。在一些实施例中,至少一个金属片段及/或延长金属条带中的至少一者能够可操作地连接至电压源以提供电压信号(例如,vdd或vss)。另外或替代地,至少一个金属柱可以可操作地连接至电力条带。

在一些实施例中,ic的设计是由诸如电子计算机辅助设计(electroniccomputer-aideddesign;ecad)系统的计算机系统提供。ecad工具及方法利于半导体基板上的ic中的电路及/或组件设计、分割及置放。ecad程序通常包括将ic的行为描述转换成功能描述,接着将功能描述分解为逻辑功能且映射至实施逻辑或其他电子功能的单元中。此等单元可定义且储存在单元库中。一旦映射,即执行合成以将结构设计转换成实体布局。在一些例子中,设计可为优化后的布局。

图31根据一些实施例图示适合设计集成电路的实例系统。设计程序可由诸如ecad系统的计算机系统来实施。本文中揭示的设计(例如,布局)方法的操作中的一些或全部能够作为在设计室(在下文结合图32论述的设计室3202)中执行的设计程序的部分来执行。

在一些实施例中,系统3100包括自动置放选路(automatedplaceandroute;apr)系统。在一些实施例中,系统3100包括处理装置3102及非暂时性计算机可读储存媒体3104(“储存装置”)。处理装置3102为任何合适的处理装置或多个处理装置。实例处理装置包括(但不限于)中央处理单元、微处理器、分散式处理系统、特殊应用集成电路、图形处理单元、场可程序化门阵列或其组合。

储存装置3104可经编码具有或储存例如计算机程序码(例如,一组可执行指令3106)。可执行指令3106由处理装置3102的执行(至少部分地)表示一ecad工具,该ecad工具实施本文中描述的方法的一部分或全部以产生本文中揭示的结构及ic的设计。此外,可包括制造工具3108以用于ic的布局及实体实施。在一或多个实施例中,储存装置3104为非暂时性的电子、磁性、光学、电磁、红外线及/或半导体系统(或设备或装置)。举例而言,储存装置3104包括半导体或固态记忆体、磁带、可移式计算机磁盘、随机存取记忆体(randomaccessmemory;ram)、只读记忆体(read-onlymemory;rom)、硬质磁盘及/或光盘。在使用光盘的一或多个实施例中,储存装置3104包括光盘只读记忆体(compactdisk-readonlymemory;cd-rom)、可读写光盘(compactdisk-read/write;cd-r/w)及/或数字视讯光盘(digitalvideodisc;dvd)。

处理装置3102经由总线3110可操作地连接至储存装置3104。处理装置3102亦通过总线3110可操作地连接至输入/输出(input/output;i/o)接口3112及网络接口3114。网络接口3114可操作地连接至网络3116,使得处理装置3102及储存装置3104能够经由网络3116连接至外部元件。在一或多个实施例中,网络3116说明任何类型的有线及/或无线网络,诸如内部网络及/或分散式计算网络(例如,网际网络)。

网络接口3114允许系统3100经由网络3116与其他计算或电子装置(未示出)通信。网络接口3114包括无线网络接口及/或有线网络接口。实例无线网络接口包括bluetooth、wifi、wimax、gprs或wcdma。实例有线网络接口包括ethernet、usb或ieee-1364。在一或多个实施例中,本文中揭示的程序及/或方法中的一些或全部是经由网络3116在分散式系统中实施。

处理装置3102用以执行编码在储存装置3104中的可执行指令3106以使系统3100可用于执行本文中描述的程序及/或方法中的一些或全部。举例而言,一电子设计应用程序(例如,在ecad系统中或作为独立应用程序)能够用以执行图2至图30h所示的方法及技术。给定集成电路的复杂度,且由于集成电路包括几千、几百万或几十亿个组件,因此人类心智不能执行图2至图30h中所描绘的方法及技术。不同于人类心智,电子设计应用程序能够执行与图2至图30h相关联的操作。

在一或多个实施例中,储存装置3104储存用以使系统3100可用于执行该些程序及/或方法中的一些或全部的可执行指令3106。在一或多个实施例中,储存装置3104亦储存利于该些程序及/或方法中的一部分或全部的执行的信息。在一或多个实施例中,储存装置3104储存单元库3118,该单元库(至少部分地)包括标准及/或先前设计的单元。

i/o接口3112可操作地连接至i/o装置3120。在一或多个实施例中,i/o装置3120包括以下各者中的一或多者:影像捕捉装置、麦克风、扫描器、键盘、小键盘、鼠标、触控板、触控屏幕及/或标方向键以用于将信息及命令传达至处理装置3102。i/o装置3120亦可包括一或多个显示器、一或多个扬声器、印表机、头戴耳机、触觉或感触回馈装置及类似物。

系统3100用以经由i/o接口3112接收信息。经由i/o接口3112接收的信息包括由处理装置3102进行处理的指令、数据、设计规则、单元库及/或其他参数中的一或多者。信息是经由总线3110传送至处理装置3102。系统3100用以经由i/o接口3112接收与使用者界面(userinterface;ui)有关的信息。信息是作为ui3122储存在储存装置3104中或在ui3122中呈现。

在一些实施例中,该些程序及/或方法的一部分或全部是实施为由处理装置(例如,处理装置3102)执行的独立软件应用程序(例如,eda)。在一些实施例中,该些程序及/或方法的一部分或全部是实施为作为额外软件应用程序的一部分的软件应用程序。在一些实施例中,该些程序及/或方法的一部分或全部是实施为软件应用程序的外挂程序。在一些实施例中,该些程序及/或方法中的至少一者是实施为作为eda工具的一部分的软件应用程序。在一些实施例中,该些程序及/或方法的一部分或全部是实施为由系统3100使用的软件应用程序。在一些实施例中,包括标准及/或先前设计的单元的布局图是使用诸如可自cadencedesignsystems,inc.获得的virtuoso的工具或另一合适的布局产生工具产生。

在一些实施例中,制程是实现为储存于非暂时性计算机可读记录媒体(例如,储存装置3104)中的程序的功能。非暂时性计算机可读记录媒体的实例包括(但不限于)外部/可移式及/或内部/内建的储存或记忆体单元,例如以下各者中的一或多者:光盘,诸如dvd;磁盘,诸如硬盘;半导体记忆体,诸如rom、ram、记忆卡;以及类似物。

如上文所提及,系统3100的实施例可包括用于实施储存于储存装置3104中的程序及/或方法的制造工具3108。举例而言,可对设计执行合成,在该合成中,设计渴望的行为及/或功能是通过将设计与选自单元库3118的单元匹配而变换成功能上相等的逻辑门层级电路描述。该合成产生功能上相等的逻辑门层级电路描述,诸如门层级网络连线表。基于门层级网络连线表,可产生一光微影遮罩,该光微影遮罩用于通过制造工具3108来制造ic。装置制造的另外态样是结合图32揭示,根据一些实施例,图32为集成电路制造系统的方块图,及与该集成电路制造系统相关联的制造流程。在一些实施例中,基于布局图,(a)一或多个半导体遮罩或(b)一半导体ic的一层中的至少一个组件中的至少一者是使用制造系统3200制造。

在图32中图示的实施例中,ic制造系统3200包括诸如设计室3202、遮罩室3204及ic制造商/制造者(“晶圆厂”)3206的实体,该些实体在与制造ic3208(诸如本文中揭示的ic)有关的设计、开发及制造循环及/或服务中彼此相互作用。系统3200中的实体由通信网络(未示出)可操作地连接。在一些实施例中,通信网络是单一网络。在其他实施例中,通信网络是多种不同的网络,诸如内部网络及网际网络。通信网络包括有线及/或无线的通信通道。

每一实体与其他实体中的一或多者相互作用,且为其他实体中的一或多者提供服务及/或自其他实体中的一或多者接收服务。在一些实施例中,设计室3202、遮罩室3204及ic晶圆厂3206中的两者或多者归单一公司所有。在一些实施例中,设计室3202、遮罩室3204及ic晶圆厂3206中的两者或多者共存于共用设施中且使用共用资源。

设计室(或设计团队)3202产生ic设计布局图3210。ic设计布局图3210包括针对待制造的ic3208设计的各种几何图案,或ic布局图。该些几何图案对应于构成待制造的ic3208的各种组件的金属层、氧化物层或半导体层的图案。各种层组合以形成各种ic特征。举例而言,ic设计布局图3210的一部分包括将在半导体基板(诸如硅晶圆)及安置于半导体基板上的各种材料层中形成的各种ic特征,诸如主动区域、栅极电极、源极与漏极、金属条带或区域介层孔及用于粘合垫的开口。

设计室3202实施设计程序以形成ic设计布局图3210。设计程序包括逻辑设计、实体设计或置放选路中的一或多者。ic设计布局图3210存在于具有关于几何图案的信息的一或多个数据文件中。举例而言,ic设计布局图3210可用gds文件格式、gdsii文件格式或dfii文件格式表示。

遮罩室3204包括遮罩数据准备3212及遮罩制造3214。遮罩室3204使用ic设计布局图3210来制造一或多个遮罩3216,该一或多个遮罩将用于根据ic设计布局图3210制造ic3208的各种层。遮罩室3204执行遮罩数据准备3212,其中ic设计布局图3210经转译成代表性数据文件(“representativedatafile;rdf”)。遮罩数据准备3212将rdf提供至遮罩制造3214。遮罩制造3214包括遮罩写入器(未示出),遮罩写入器将rdf转换成基板上的影像,诸如半导体晶圆上的遮罩(光刻罩)3216。ic设计布局图3210是由遮罩数据准备3212操纵以遵守遮罩写入器的特定特性及/或ic晶圆厂3206的要求。在图32中,遮罩数据准备3212及遮罩制造3214是说明为单独元件。在一些实施例中,遮罩数据准备3212及遮罩制造3214可共同被称为遮罩数据准备。

在一些实施例中,遮罩数据准备3212包括光学近接修正(opticalproximitycorrection;opc),光学近接修正使用微影增强技术以补偿影像误差,诸如可由绕射、干涉、其他处理效应及类似者引起的影像误差。opc调整ic设计布局图3210。在一些实施例中,遮罩数据准备3212包括其他解析度增强技术(resolutionenhancementtechnique;ret),诸如离轴照明、次解析度辅助特征、相移遮罩、其他合适的技术及类似技术或该些技术的组合。在一些实施例中,亦使用逆微影技术(inverselithographytechnology;ilt),逆微影技术将opc视为逆成像问题。

在一些实施例中,遮罩数据准备3212包括遮罩规则检验器(maskrulechecker;mrc),遮罩规则检验器利用一组遮罩创造规则来检查已经历opc中的程序的ic设计布局图3210,该组遮罩创造规则含有特定的几何及/或连接限制以确保足够裕量,以解释半导体制造制程中的可变性及类似者。在一些实施例中,mrc修改ic设计布局图3210以补偿遮罩制造期间的限制,如此可撤销由opc执行的修改的部分,以便满足遮罩创造规则。

在一些实施例中,遮罩数据准备3212包括微影制程检查(lithographyprocesschecking;lpc)(未示出),该微影制程检查模拟将由ic晶圆厂3206实施以制造ic3208的处理。lpc基于ic设计布局图3210来模拟此处理以产生模拟制造的装置,诸如ic3208。lpc模拟中的处理参数可包括与ic制造循环的各种制程相关联的参数、与用于制造ic的工具相关联的参数及/或制造制程的其他态样。lpc考虑各种因素,诸如空中影像对比度、焦点深度(“depthoffocus;dof”)、遮罩误差增强因子(“maskerrorenhancementfactor;meef”)、其他合适的因素及类似者或前述因素的组合。在一些实施例中,在模拟制造的装置已由lpc产生之后,且若模拟的装置在形状上不足够接近以满足设计规则,则应重复opc及/或mrc以进一步改良ic设计布局图3210。

应理解,为清楚起见,遮罩数据准备3212的以上描述已经简化。在一些实施例中,遮罩数据准备3212包括额外特征,诸如用于根据制造规则修改ic设计布局图3210的逻辑运算(logicoperation;lop)。另外,在遮罩数据准备3212期间应用于ic设计布局图3210的程序可按多种不同的次序执行。

在遮罩数据准备3212之后且在遮罩制造3214期间,基于ic设计布局图3210而制造一遮罩3216或一组遮罩3216。在一些实施例中,遮罩制造3214包括基于ic设计布局图3210而执行一或多次微影曝光。在一些实施例中,使用一电子束(e射束)或多个e射束的机制以基于ic设计布局图3210而在遮罩3216(光罩或光刻罩)上形成图案。遮罩3216可用各种技术形成。举例而言,在一些实施例中,遮罩3216是使用二元技术(binarytechnology)形成。在一些实施例中,遮罩图案包括不透明区域及透明区域。用于使已涂布在晶圆上的影像敏感材料层(例如,光阻剂)曝光的辐射束被不透明区域阻断且透射穿过透明区域,该辐射束诸如紫外线(ultraviolet;uv)射束。在一个实例中,遮罩3216的二元遮罩版本包括透明基板(例如,熔融石英)及涂布在二元遮罩的不透明区域中的不透明材料(例如,铬)。

在另一实例中,遮罩3216是使用相移技术形成。在遮罩3216的相移遮罩(phaseshiftmask;psm)版本中,形成于相移遮罩上的图案中的各种特征用以具有恰当的相位差以增强解析度及成像品质。在各种实例中,相移遮罩可为衰减式psm或交替式psm。通过遮罩制造3214产生的遮罩3216将在多种程序中使用。举例而言,一(多个)遮罩3216将在用于在半导体晶圆中形成各种掺杂区域的离子植入制程中、在用于在半导体晶圆中形成各种蚀刻区域的蚀刻制程中及/或在其他合适制程中使用。

ic晶圆厂3206包括晶圆制造3218。ic晶圆厂3206是ic制造企业,该ic制造企业包括用于制造多种不同ic产品的一或多个制造设施。在一些实施例中,ic晶圆厂3206是半导体铸造厂。举例而言,可能存在用于多个ic产品的前端制造(feol制造)的制造设施,而第二制造设施可提供用于ic产品的互连及封装的后端制造(beol制造),且第三制造设施可为铸造厂企业提供其他服务。

ic晶圆厂3206使用由遮罩室3204制造的遮罩3216以制造ic3208。因此,ic晶圆厂3206至少间接地使用ic设计布局图3210以制造ic3208。在一些实施例中,半导体晶圆3220是由ic晶圆厂3206使用遮罩3216制造以形成ic3208。在一些实施例中,ic晶圆厂3206包括至少间接地基于ic设计布局图3210而执行一或多次微影曝光。半导体晶圆3220包括硅基板或其他恰当的基板,该基板上形成有多个材料层。半导体晶圆3220进一步包括以下各者中的一或多个:各种掺杂区域;介电特征;多位准互连;以及类似物(在后续制造步骤形成)。

前述内容概述几个实施例的特征,使得熟悉此项技术者可更好地理解本案的一实施例的态样。熟悉此项技术者应了解,该些技术者可容易将本案的一实施例用作为设计或修改用于实现与本文中介绍的实施例的相同目的及/或达成与本文中介绍的实施例的相同优点的其他制程及结构的基础。熟悉此项技术者亦应认识到,此等等效构造不背离本案的一实施例的精神及范畴,且该些技术者可在不离本案的一实施例的精神及范畴的情况下作出本文中的各种改变、取代及改动。

在一个态样中,揭示一种在集成电路中的单元,包含第一导体层及上覆第一导体层的第二导体层。第一导体层包含划分成多个导体片段的导体条带及用以连接至第一电压源以提供第一电压信号的第一电力条带。第二导体层上覆于第一导体层且包含用以连接至第二电压源以提供第二电压信号的第二电力条带。其中导体片段中的第一导体片段电连接至第二电力条带以将第二电压信号提供至单元中的第一导体层。

在一些实施例中,单元还包含第三导体层,第三导体层安置在第一导体层与第二导体层之间,第三导体层提供第一导体层与第二导体层之间的一或多个触点。

在一些实施例中,其中第二导体层进一步包含一或多个导体柱,且每一导体柱电连接至第一电力条带。

在一些实施例中,其中第一导体层中的导体片段中的第二导体片段是配置为信号线。

在一些实施例中,其中第一电力条带延伸超出单元的边界且由毗邻单元的邻近单元共用。

在一些实施例中,其中第二电力条带延伸超出单元的边界且由毗邻单元的邻近单元共用。

在一些实施例中,单元进一步包含第一主动扩散区域、第二主动扩散区域、一或多个金属扩散区域以及一或多个聚硅线。第一主动扩散区域安置在第一导体层之下。第二主动扩散区域安置在第一导体层之下。一或多个金属扩散区域安置在第一及第二主动扩散区域上方。一或多个聚硅线安置在第一及第二主动扩散区域上方。

在一些实施例中,其中单元的一单元高度在近似一百纳米至一百三十纳米的一范围内。

在另一态样中,揭示一种集成电路包括第一单元及邻近第一单元的第二单元。第一单元包括第一金属层,第一金属层包含可操作以连接至第一电压源以供应第一电压信号的第一电力条带及划分成多个金属片段的第一金属条带。金属片段中的第一金属片段延伸超出第一单元的单元边界且可操作以将第二电压源供应至第一单元。第二单元包含第一金属层,第一金属层包括可操作以供应第一电压源的第一电力条带及划分成多个金属片段的第二金属条带。多个金属片段中的第二金属片段延伸超出第二单元的单元边界且可操作以将第二电压源供应至第二单元。第一金属片段及第二金属片段形成一个金属片段,一个金属片段驻留在第一单元与第二单元之间且驻留在第一单元及第二单元中。

在一些实施例中,集成电路进一步包含第三金属片段以及第四金属片段。在第一单元中的金属片段中,第三金属片段用于第一信号。第二单元中的金属片段中,第四金属片段用于第一信号。

在一些实施例中,其中第一电力条带延伸超出第一单元的单元边界且由毗邻第一单元的第三单元共用;以及第一电力条带延伸超出第二单元的单元边界且由毗邻第二单元的第四单元共用。

在一些实施例中,集成电路还包含填充物单元,填充物单元安置在第一单元与第二单元之间,其中由第一金属片段及第二金属片段形成的一个金属片段驻留在填充物单元中。

在一些实施例中,其中第一单元进一步包含第二金属层,第二金属层上覆于第一金属层且包含可操作以连接至第二电压源以提供第二电压信号的第二电力条带,其中第二电力条带电连接至第一金属层中的第一金属片段;以及第二单元进一步包含第二金属层,第二金属层上覆于第一金属层且包含提供第二电压信号的第二电力条带,其中第二电力条带电连接至第一金属层中的第二金属片段。

在一些实施例中,其中第二电力条带延伸超出第一单元的边界且由毗邻第一单元的第三单元共用。第二电力条带延伸超出第二单元的边界且由毗邻第二单元的第四单元共用。

在又一态样中,揭示一种集成电路包括一第一单元及邻近该第一单元的一第二单元。该第一单元包括一第一金属层及上覆于该第一金属层的一第二金属层。该第一金属层包括可操作以连接至一第一电压源以供应一第一电压信号的一第一电力条带及用于信号的n个金属条带。该第二金属层包括可操作以连接至一第二电压源以供应一第二电压信号的一第二电力条带及用于信号的m个金属条带,其中n小于m。该第二单元包括包含供应该第一电压信号的该第一电力条带的该第一金属层,且该第二金属层包括供应该第二电压信号的该第二电力条带。一填充物单元安置在该第一单元与该第二单元之间。

在另一态样中,揭示一种制造集成电路的方法包括:在集成电路上方形成一第一导体层,其中第一导体层包括划分成多个导体片段的导体条带及用以提供第一电压信号的一第一电力条带;形成上覆于第一导体层的接触层;以及形成第二导体层,第二导体层上覆于接触层且包含用以提供第二电压信号的第二电力条带。其中接触层中的触点将导体片段中的第一导体片段电连接至第二电力条带以将第二电压信号提供至第一导体层。

在一些实施例中,其中第一导体片段形成以延伸超出集成电路中的单元的边界。

在一些实施例中,方法进一步包含以下步骤:在基板中形成一或多个主动区域;在一或多个主动区域上方形成聚硅层,聚硅层包含聚硅线;以及在一或多个主动区域上方形成金属扩散层,金属扩散层包含金属扩散区域。

在一些实施例中,方法进一步包含以下步骤:在金属扩散层上方形成介层孔扩散层,介层孔扩散层包含形成于金属扩散层区域上方的介层孔扩散区域;在聚硅层上方形成介层孔至栅极层,介层孔至栅极层包含形成于聚硅线上方的介层孔至栅极区域,其中第一导体层形成于介层孔扩散层及介层孔至栅极层上方。

在一些实施例中,其中在集成电路上方形成第一导体层的步骤包含以下步骤:在集成电路上方形成第一导体层,其中第一导体层包括划分成多个导体片段的第一导体条带、用以提供第一电压信号的第二电力条带及配置为信号线的第二导体条带。

在一些实施例中,其中形成上覆于接触层且包含第二电力条带的第二导体层的步骤包含以下步骤:形成第二导体层,第二导体层上覆于接触层且包含第二电力条带及可操作地连接至一下层导体条带或电力条带的金属柱。

对本申请案中提供的一或多个态样的描绘及说明不欲以任何方式限制或约束如所主张的本案的一实施例的范畴。认为本申请案中提供的态样、实例及细节足以传达对所主张的揭示内容的最佳模式的占有且使其他人能够制造及使用所主张的揭示内容的最佳模式。所主张的揭示内容不应解释为限于在本申请案中提供的任何态样、实例或细节。不管是否组合地或单独地展示及描述,意欲选择性地包括或省略各种特征(结构特征及方法特征两者)以产生具有特征的特定集合的实施例。在已提供对本申请案的描述及说明的情况下,熟悉此项技术者可想象多个变化、修改及替代态样,该些变化、修改及替代态样在不背离所主张的揭示内容的较广范畴的体现在本申请案中的一般发明性概念的较广态样的精神内。

再多了解一些

本文用于企业家、创业者技术爱好者查询,结果仅供参考。

发表评论 共有条评论
用户名: 密码:
验证码: 匿名发表

相关文献

  • 日榜
  • 周榜
  • 月榜