一种残膜回收机防缠绕挑膜装置的制 一种秧草收获机用电力驱动行走机构

一种数字集成电路优化方法

2022-09-07 23:49:53 来源:中国专利 TAG:


1.本发明涉及数字集成电路的优化方法,特别是涉及一种数字集成电路工程修改(eco)阶段的漏功耗优化方法。


背景技术:

2.随着集成电路的发展和工艺节点的进步,漏功耗在总功耗中的占比不断增大,集成电路的漏功耗优化问题变得越发重要。过去,漏功耗占比较小的时候,通过电源电压缩放和阈值电压缩放等技术来降低占比较大的动态功耗可以有效解决集成电路的能耗问题。然而,当工艺节点发展到100nm范围内,阈值电压的降低将导致亚阈值漏功耗呈指数增长,从而可能导致芯片工作温度发生较大变化,进而对芯片工作的时序产生影响。因此,在保证时序约束严格满足要求的前提下,对漏功耗进行优化成为集成电路功耗优化的重要问题之一。
3.工程修改(engineering change order,eco)通常被用来优化电路的功耗,性能和面积,实现尽可能好的芯片设计指标。标准单元库中的每个标准单元通常有多种类型的尺寸和阈值电压,在一定的时序约束下,通过尺寸调节和阈值电压调节可以对电路的漏功耗进行优化。然而,在布线后阶段,尺寸调节通常需要进行增量布局,导致芯片设计时间的增加,而阈值电压调节不会对整体布局和布线产生重大干扰,因此成为eco阶段更常用的漏功耗优化方法。但是,阈值电压更换需要进行多轮迭代,并且每次迭代完成后都需要进行相应的时序检查以保证满足时序约束的要求,由此带来了巨大的时间成本,进而延长了芯片的整个设计周期。因此,解决eco阶段的漏功耗优化的耗时问题十分重要。
4.目前机器学习已经应用于漏功耗优化领域中。然而,由于漏功耗优化问题需要在电路时序和漏功耗之间进行权衡,其复杂性使得传统的机器学习方法难以取得很好的预测效果。一方面,优化后电路的最坏负时序裕量(worst negative slack,wns)和总的负时序裕量(total negative slack,tns)不能变差,并且不能引入新的违规;另一方面,紧密交织的时序路径上存在着许多共享门单元,这些路径上的任何门单元的阈值电压调节都可能导致共享该单元的路径中产生新的时序违规。因此,门单元优化后的阈值电压类型不仅取决于门单元本身的信息,而且高度依赖于其相邻门单元。那么,在最终的门单元的嵌入向量中,不仅需要考虑自身的特征对于优化后阈值电压的影响,还需要考虑到经过该门单元的时序最差的路径拓扑信息。然而,目前的已有研究主要利用门单元自身特征进行建模,缺少路径拓扑信息,无法满足预测的高精度要求。
5.此外,图神经网络(graph neural network,gnn)基于图学习的方式来对相邻门单元的信息进行学习,从而提取电路级拓扑信息,是一种能够有效地应用于漏功耗优化问题的模型。然而,已有的处理漏功耗优化问题的gnn模型仍存在不足。首先,不同类型的相邻门单元的阈值电压调节会对其中心门单元最终的阈值电压类型产生不同的影响,但已有的gnn模型聚合时无法对不同相邻门单元进行区分。其次,已有的gnn模型在学习节点表示时仅保留最后一层的输出,这会导致一阶或两阶距离的相邻门单元的局部拓扑信息的丢失。
6.总的来说,现有的机器学习模型难以同时对门单元级信息,路径级拓扑信息和电路级拓扑信息进行学习,并且还存在无法区分不同类型的相邻门单元,缺少局部拓扑信息的问题,使得已有的基于机器学习的漏功耗优化方法不能够在减少漏功耗优化运行时间的同时,取得高精度的漏功耗优化预测效果。因此,如何建立一个预测框架,从而对漏功耗进行准确预测,同时提升漏功耗优化速度是亟需解决的问题。


技术实现要素:

7.技术问题:本发明的目的是提供一种数字集成电路优化方法,能够对漏功耗优化进行准确的预测,并且有效提升漏功耗优化的速度。
8.技术方案:本发明的一种数字集成电路优化方法指的是在满足一定的时序约束的前提下,对电路中的门单元分配合适的阈值电压类型,使得漏功耗达到最小;所述方法包括以下步骤:
9.s1:通过提取门单元间拓扑连接信息、门单元特征信息和路径拓扑信息作为漏功耗优化模型的输入;首先从电路网表中提取门单元之间的拓扑连接关系构成邻接矩阵;随后通过时序和功耗分析工具获取指定电路的时序报表,从中提取时序和功耗相关的信息构成特征矩阵,包括单元自身和相邻单元的时序特征、电容特征以及功耗特征;之后提取电路中经过每个门单元的关键路径,得到路径特征序列;最后通过商用电路优化工具对电路进行漏功耗优化,得到电路中每个门单元优化后的阈值电压类型,作为模型训练及推理的真实标签值;
10.s2:将s1步骤中通过提取电路网表得到反映门单元之间拓扑连接关系的邻接矩阵和通过提取时序报表得到的时序和功耗相关的特征矩阵,作为图神经网络gnn的输入,该网络经过训练后能够对电路级拓扑信息进行建模,从而建立起电路拓扑结构与漏功耗优化结果之间的联系;
11.s3:将s1步骤中提取得到的路径特征序列作为双向长短期记忆网络blstm的输入,该网络经过训练后,能够对电路中经过每个门单元的关键路径的路径级拓扑信息进行建模,建立起路径级拓扑信息和漏功耗优化结果之间的联系;
12.s4:将s1步骤中通过提取时序报表得到的时序和功耗相关的信息构成的特征矩阵,包括门单元自身和相邻门单元的时序特征、电容特征以及功耗特征,作为人工神经网络ann的输入,该模型经过训练后,能够建立起门单元自身信息与漏功耗优化结果之间的联系;
13.s5:将s2步骤中图神经网络gnn模型的输出,s3步骤中双向长短期记忆神经网络blstm的输出和s4步骤中人工神经网络ann的输出进行合并;合并后的向量作为阈值电压分类网络的输入,该模型经过训练后,能够建立起电路级拓扑信息,路径级拓扑信息和门单元拓扑信息与漏功耗优化后门单元的阈值电压类型之间的关系,实现对电路中门单元的优化后的阈值电压类型的预测。
14.其中,
15.所述步骤s1具体包括以下步骤:
16.s11:通过将电路网表中的门单元表示为图graph中的节点node,将门单元之间的互连线表示为图中的边edge,把电路网表转换为有向无环图;根据有向无环图构建邻接矩
阵,邻接矩阵中包含门单元之间的拓扑连接关系的信息,包括每个中心单元的扇入门单元,扇出门单元和兄弟门单元,随后采用压缩稀疏行csr格式来对邻接矩阵进行压缩;
17.s12:通过逻辑综合工具对电路的寄存器传输级rtl网表进行逻辑综合得到逻辑综合后的门单元级网表,随后通过布局布线工具对综合后的网表进行布局布线等物理设计流程得到布线后的网表,然后使用时序和功耗分析工具对布线后的网表进行静态时序分析和功耗分析得到电路路径的时序信息和漏功耗信息;从时序报表中提取门单元自身的和相邻单元的时序特征、电容特征以及功耗特征;上述时序、电容和功耗相关的特征构成特征矩阵;
18.s13:提取电路中经过每个门单元的最小时序裕量的路径,随后遍历路径上所有门单元的集合,对集合中的每个门单元,找到特征矩阵中对应的特征向量,从而得到电路中每个门单元的路径特征序列;将序列数据填充到最大路径长度以解决路径长度不一致导致的序列数据长度不一致的问题,填充后得到特征序列矩阵;
19.s14:使用商用电路优化工具通过阈值电压调节的方式对电路的漏功耗进行优化,得到漏功耗优化后的每个门单元的阈值电压类型,作为模型训练及推理的真实标签值。
20.所述门单元自身的时序特征和电容特征包括:最坏输出时序裕量,最坏输入时序裕量,最大输出转换时间,最大输入转换时间,总的输入电容,最大延时改变和门单元延时;相邻门单元的时序特征和电容特征包括:扇入门单元最坏时序裕量,总的扇入门单元电容,扇出门单元最坏时序裕量,总的扇出门单元电容,最坏兄弟门单元时序裕量,总的兄弟门单元电容;功耗特征包括:初始漏功耗。
21.所述步骤s2中,将s1步骤得到的邻接矩阵和特征矩阵作为图神经网络gnn的输入,首先通过嵌入层将特征矩阵中每个门单元对应的特征向量映射为固定维度的向量;然后将嵌入层的输出连接到多层gnn层中进行学习,gnn层包括对相邻门单元的特征进行聚合的聚合层以及编码层,聚合层分别对每个门单元的扇入,扇出和兄弟门单元的信息进行聚合,聚合层的输出作为多层感知机构成的编码层的输入,经编码层的学习后更新得到当前gnn层的节点嵌入向量,当前gnn层的输出作为下一层gnn层的输入,重复进行聚合编码的过程,直到得到多层gnn层的输出;之后通过合并层将多层gnn的输出进行合并以使最终的节点嵌入向量包含不同深度的信息;最后输出到全连接层进行维度变换,并经过输出层得到最终的包含电路级拓扑信息的嵌入向量,从而建立起电路拓扑结构与漏功耗优化结果之间的联系。
22.所述步骤s3中,将s1步骤中得到的路径特征序列矩阵作为双向长短期记忆网络blstm的输入,首先对路径特征序列矩阵进行归一化,随后压缩掉长短期记忆lstm特征序列生成过程中无效的填充值,之后特征序列分别前向和后向地输入到长短期记忆网络层中,得到前向长短期记忆嵌入向量和后向长短期记忆嵌入向量;两者合并后经过权重矩阵进行变换,再重新填充压缩的序列以便于后续数据处理,最后输入到池化层中进行降维,得到最终的长短期记忆嵌入向量,从而建立起路径级拓扑信息和漏功耗优化结果之间的联系。
23.所述步骤s4中,将s1步骤中的特征矩阵作为多层感知机mlp的输入,通过多层全连接层的转换,输出得到最终静态嵌入向量,从而建立起门单元自身信息与漏功耗优化结果之间的联系。
24.所述步骤s5具体包括以下步骤:
25.s51:将s2步骤中图神经网络gnn输出的包含电路级拓扑信息的嵌入向量,s3步骤中双向长短期记忆神经网络blstm输出的包含路径级拓扑信息的嵌入向量和s4步骤中人工神经网络ann输出的包含门单元自身信息的向量进行合并,得到最终的节点嵌入向量;
26.s52:将最终的节点嵌入向量输入到阈值电压分类网络中进行训练,分类网络的末端连接归一化指数softmax函数输出得到维度为|v|
×
n的矩阵p,v表示网表中的门单元的集合,|v|表示网表中的门单元的个数,i表示网表中单元的编号1≤i≤|v|,n表示采用的阈值电压的类型数,c表示采用的阈值电压的编号1≤c≤n,p
ic
表示第i个门单元属于单元库中第c种阈值电压的概率;随后计算该网络的预测值和真实值之间的交叉熵误差,交叉熵损失函数的计算公式如下:
[0027][0028]
其中l表示损失函数值,y
ic
表示商用电路优化工具进行漏功耗优化后第i个门单元的阈值电压分配结果,如果分配为第c种阈值电压,则y
ic
=1,否则y
ic
=0;之后通过自适应矩估计优化器adam来最小化交叉熵损失函数,优化模型参数。最后建立起电路级拓扑信息,路径级拓扑信息和门单元拓扑信息与漏功耗优化后门单元的阈值电压类型之间的关系,实现对电路中门单元的优化后的阈值电压类型的预测。
[0029]
有益效果:本发明公开了一种数字集成电路优化方法,能够应用到工程修改(eco)阶段的电路优化中,不会对电路整体布局和布线产生重大干扰;与商用电路优化工具相比,阈值电压调整过程不需要进行多轮迭代,可以极大提升电路优化速度;对门单元阈值电压类型的预测精度高,可以取得与商用电路优化工具相近的漏功耗优化效果。本发明对于加快数字集成电路漏功耗优化的速度具有重要意义。
附图说明
[0030]
图1为数字集成电路漏功耗优化框架示意图;
[0031]
图2位图神经网络(gnn)模型的聚合层;
[0032]
图3为图神经网络(gnn)模型结构示意图;
[0033]
图4为双向长短期记忆神经网络(blstm)模型结构示意图;
[0034]
图5为多层感知机网络(mlp)模型结构示意图。
具体实施方式
[0035]
下面结合具体实施方式对本发明的技术方案作进一步的介绍。
[0036]
本发明具体实施方案公开了一种数字集成电路优化方法,所述优化指的是对电路中的门单元分配合适的阈值电压类型,使得漏功耗达到最小;例如:选用的tsmc28nm的工艺单元库中包含3种阈值电压类型,常规阈值电压(rvt)、低阈值电压(lvt)以及极低阈值电压(ulvt)。所述方法包括以下步骤:
[0037]
s1:通过提取门单元间拓扑连接信息、门单元特征信息和路径拓扑信息作为漏功耗优化模型的输入。首先从电路网表中提取门单元之间的拓扑连接关系构成邻接矩阵;随后通过时序和功耗分析工具获取指定电路的时序报表,从中提取时序和功耗相关的信息构成特征矩阵,包括单元自身和相邻单元的时序特征、电容特征以及功耗特征;之后提取电路中经过每个门单元的关键路径,得到路径特征序列;最后通过商用电路优化工具对电路进
行漏功耗优化,得到电路中每个门单元优化后的阈值电压类型,作为模型训练及推理的真实标签值;例如:阈值电压类型包括常规阈值电压(rvt)、低阈值电压(lvt)以及极低阈值电压(ulvt)三种。
[0038]
s2:将s1步骤中通过提取电路网表得到反映门单元之间拓扑连接关系的邻接矩阵和通过提取时序报表得到的时序相关的特征矩阵,作为图神经网络gnn的输入,该网络经过训练后能够对电路级拓扑信息进行建模,从而建立起电路拓扑结构与漏功耗优化结果之间的联系。
[0039]
s3:将s1步骤中提取得到的路径特征序列作为双向长短期记忆网络blstm的输入,该网络经过训练后,能够对电路中经过每个门单元的关键路径的路径级拓扑信息进行建模,建立起路径级拓扑信息和漏功耗优化结果之间的联系。
[0040]
s4:将s1步骤中通过提取时序报表得到的时序和功耗相关的信息构成的特征矩阵,包括单元自身的和相邻单元的时序特征、电容特征以及功耗特征,作为人工神经网络ann的输入,该模型经过训练后,能够建立起门单元自身信息与漏功耗优化结果之间的联系。
[0041]
s5:将s2步骤中图神经网络gnn模型的输出,s3步骤中双向长短期记忆神经网络blstm的输出和s4步骤中人工神经网络ann的输出进行合并;合并后的向量作为阈值电压分类网络的输入,该模型经过训练后,能够建立起电路级拓扑信息,路径级拓扑信息和门单元拓扑信息与漏功耗优化后门单元的阈值电压类型之间的关系,实现对电路中门单元的优化后的阈值电压类型的预测。
[0042]
步骤s1具体包括以下步骤:
[0043]
s11:通过将电路网表中的门单元表示为图graph中的节点node,将门单元之间的互连线表示为图中的边edge,把电路网表转换为有向无环图,并根据有向无环图构建邻接矩阵,邻接矩阵中包含门单元之间的拓扑连接关系的信息,包括每个中心单元的扇入门单元,扇出门单元和兄弟门单元,随后采用压缩稀疏行csr格式来对邻接矩阵进行压缩;
[0044]
s12:通过逻辑综合工具对电路的寄存器传输级rtl网表进行逻辑综合得到逻辑综合后的门单元级网表,随后通过布局布线工具对综合后的网表进行布局布线等物理设计流程得到布线后的网表,然后使用时序和功耗分析工具对布线后的网表进行静态时序分析和功耗分析得到电路路径的时序信息和漏功耗信息;从时序报表中提取单元自身的和相邻单元的时序特征、电容特征以及功耗特征;上述时序、电容和功耗相关的特征构成特征矩阵;
[0045]
s13:提取电路中经过每个门单元的最小时序裕量的路径,随后遍历路径上所有门单元的集合,对集合中的每个门单元,找到特征矩阵中对应的特征向量,从而得到电路中每个门单元的路径特征序列,并将序列数据填充到最大路径长度以解决路径长度不一致导致的序列数据长度不一致的问题,填充后得到特征序列矩阵;
[0046]
s14:使用商用电路优化工具通过阈值电压调节的方式对电路的漏功耗进行优化,得到漏功耗优化后的每个门单元的阈值电压类型,包括常规阈值电压(rvt)、低阈值电压(lvt)或者极低阈值电压(ulvt),作为模型训练及推理的真实标签值。
[0047]
所述门单元自身的时序特征和电容特征包括:最坏输出时序裕量,最坏输入时序裕量,最大输出转换时间,最大输入转换时间,总的输入电容,最大延时改变和门单元延时;相邻门单元的时序特征和电容特征包括:扇入门单元最坏时序裕量,总的扇入门单元电容,
扇出门单元最坏时序裕量,总的扇出门单元电容,最坏兄弟门单元时序裕量,总的兄弟门单元电容;功耗特征包括:初始漏功耗。
[0048]
步骤s2中,将s1步骤得到的邻接矩阵和特征矩阵作为图神经网络gnn的输入,首先通过嵌入层将特征矩阵中每个门单元对应的特征向量映射为固定维度的向量;然后将嵌入层的输出连接到多层gnn层中进行学习,gnn层包括对相邻门单元的特征进行聚合的聚合层以及编码层,聚合层分别对每个门单元的扇入,扇出和兄弟门单元的信息进行聚合,聚合层输出作为多层感知机构成的编码层的输入,经编码层的学习后更新得到当前gnn层的节点嵌入向量,当前gnn层的输出作为下一层gnn层的输入,重复进行聚合编码的过程,直到得到多层gnn层的输出;之后通过合并层将多层gnn的输出进行合并以使最终的节点嵌入向量包含不同深度的信息;最后输出到全连接层进行维度变换,并经过输出层得到最终的包含电路级拓扑信息的嵌入向量,从而建立起电路拓扑结构与漏功耗优化结果之间的联系。例如:抽取电路级拓扑特征的图神经网络的层数分别为,图神经网络的层数为3,隐藏层神经元的个数为128,聚合函数使用均值聚合函数,输出层神经元个数为128,邻节点采样个数为15,嵌入层维度为32,特征矩阵维度为14。
[0049]
步骤s3中,根据权利要求1所述的数字集成电路优化方法,其特征在于:所述步骤s3中,将s1步骤中得到的路径特征序列矩阵作为双向长短期记忆网络blstm的输入,首先对特征序列矩阵进行归一化,随后压缩掉长短期记忆lstm特征序列生成过程中无效的填充值,之后特征序列分别前向和后向地输入到长短期记忆网络层中,得到前向长短期记忆嵌入向量和后向长短期记忆嵌入向量;两者合并后经过权重矩阵进行变换,再重新填充压缩的序列以便于后续数据处理,最后输入到池化层中进行降维,得到最终的长短期记忆嵌入向量,从而建立起路径级拓扑信息和漏功耗优化结果之间的联系。例如:抽取路径级拓扑特征的双向长短期记忆网络的参数分别为,长短期记忆网络的层数为2,隐藏层神经元的个数为128,输出层神经元个数为128,输入序列长度为电路最大路径长度,输入维度为14。
[0050]
步骤s4中,将s1步骤中的特征矩阵作为多层感知机mlp的输入,通过多层全连接层的转换,输出得到最终的静态嵌入向量,从而建立起门单元自身信息与漏功耗优化结果之间的联系。例如:抽取门单元级特征的多层感知机模块的参数分别为,多层感知机层数为3,特征矩阵维度为14,隐藏层神经元个数为128,输出层神经元个数为128。
[0051]
步骤s5具体包括以下步骤:
[0052]
s51:将s2步骤中图神经网络gnn输出的包含电路级拓扑信息的嵌入向量,s3步骤中双向长短期记忆神经网络blstm输出的包含路径级拓扑信息的嵌入向量和s4步骤中人工神经网络ann输出的包含门单元自身信息的向量进行合并,得到最终的节点嵌入向量;
[0053]
s52:将最终的节点嵌入向量输入到阈值电压分类网络中进行训练,分类网络的末端连接归一化指数softmax函数输出得到维度为|v|
×
n的矩阵p,v表示网表中的门单元的集合,|v|表示网表中的门单元的个数,i表示网表中单元的编号1≤i≤|v|,n表示采用的阈值电压的类型数,c表示采用的阈值电压的编号1≤c≤n,p
ic
表示第i个门单元属于单元库中第c种阈值电压的概率;随后计算该网络的预测值和真实值之间的交叉熵误差,交叉熵损失函数的计算公式如下:
[0054][0055]
其中l表示损失函数值,y
ic
表示商用电路优化工具进行漏功耗优化后第i个门单元
的阈值电压分配结果,如果分配为第c种阈值电压,则y
ic
=1,否则y
ic
=0;之后通过自适应矩估计优化器adam来最小化交叉熵损失函数,优化模型参数;最后建立起电路级拓扑信息,路径级拓扑信息和门单元拓扑信息与漏功耗优化后门单元的阈值电压类型之间的关系,实现对电路中门单元的优化后的阈值电压类型的预测。例如:阈值电压分类网络的参数分别为,阈值电压类别数为3,训练批次个数为512,学习率为0.001,优化器选择自适应矩估计优化器adam,损失函数选择交叉熵损失函数。
再多了解一些

本文用于企业家、创业者技术爱好者查询,结果仅供参考。

发表评论 共有条评论
用户名: 密码:
验证码: 匿名发表

相关文献