一种残膜回收机防缠绕挑膜装置的制 一种秧草收获机用电力驱动行走机构

半导体结构及其形成方法与流程

2022-07-30 11:58:41 来源:中国专利 TAG:


1.本技术的实施例涉及半导体结构及其形成方法。


背景技术:

2.诸如晶体管等集成电路器件形成在半导体晶圆上。这些器件通过金属线和通孔互连以形成功能电路,其中,金属线和通孔是在后段制程工艺中形成的。为了减少金属线和通孔的寄生电容,金属线和通孔形成在低k介电层中,该低k介电层具有通常低于3.8、低于3.0或低于2.5的k值。
3.在低k介电层中形成金属线和通孔时,低k介电层被蚀刻以形成沟槽和通孔开口。蚀刻低k介电层可涉及在低介电材料上方形成图案化的硬掩模,并将图案化的硬掩模用作蚀刻掩模来形成沟槽。沟槽的下面也形成通孔开口。然后用金属材料填充沟槽和通孔开口,该金属材料可包括铜。然后执行化学机械抛光(cmp)工艺,以去除低k介电层上方过量的金属材料部分。
4.空气间隔件已知k值较低,该k值等于1.0。在用于在金属线之间形成空气间隔件的传统工艺中,首先去除两条金属线之间的介电材料,然后在两条金属线之间重新沉积另一介电材料。沉积工艺控制为使得在重新填充的介电材料中形成空气间隔件。然后执行cmp工艺以去除填充的介电材料的过量部分,这些过量部分位于金属线上方。


技术实现要素:

5.本技术的一些实施例提供了一种形成半导体结构的方法,包括:蚀刻介电层以形成开口,其中,所述介电层下面的第一导电部件暴露于所述开口;沉积延伸至所述开口中的牺牲间隔件层;对所述牺牲间隔件层进行图案化,其中,去除所述牺牲间隔件层的位于所述开口的底部处的底部部分以露出第一导电部件,并且留下所述牺牲间隔件层的位于所述开口中和所述介电层的侧壁上的第一垂直部分以形成第一环;在所述开口中形成第二导电部件,其中,所述第二导电部件被所述第一环环绕,并位于所述第一导电部件上方并电耦接至所述第一导电部件;以及去除所述第一环的至少一部分以形成空气间隔件。
6.本技术的另一些实施例提供了一种半导体结构,包括:第一导电部件;第一蚀刻停止层,位于所述第一导电部件上方;介电层,位于所述第一蚀刻停止层上方;第二导电部件,位于所述介电层和所述第一蚀刻停止层中,其中,所述第二导电部件位于所述第一导电部件上方并接触所述第一导电部件;空气间隔件,环绕所述第二导电部件,其中,所述第二导电部件的侧壁暴露于所述空气间隔件;以及第二蚀刻停止层,位于所述介电层上方并接触所述介电层,其中,所述第二蚀刻停止层进一步位于所述第二导电部件上方。
7.本技术的又一些实施例提供了一种半导体结构,包括:第一导电部件;第二导电部件,位于所述第一导电部件上方并电耦接至所述第一导电部件,其中,所述第二导电部件包括;扩散阻挡;以及金属材料,位于由所述扩散阻挡形成的盆中;空气间隔件,环绕所述第二导电部件的顶部部分;以及介电层,环绕所述空气间隔件。
附图说明
8.当与附图一起阅读时,根据以下详细描述可最好地理解本发明的各方面。应注意,根据行业中的标准实践,各种部件未按比例绘制。实际上,为论述清楚,各种部件的尺寸可任意增加或减少。
9.图1至图8、图9a、图9b、图10至图14和图15a示出根据一些实施例形成导电部件和空气间隔件的中间阶段的截面图。
10.图15b示出根据一些实施例的未被任何空气间隔件包围的双镶嵌结构的截面图。
11.图15c示出根据一些实施例的空气间隔件的俯视图。
12.图16示出根据一些实施例的晶圆中的层的示意图。
13.图17示出根据一些实施例的用于形成导电部件和空气间隔件的工艺流程。
具体实施方式
14.以下公开内容提供了许多用于实现本发明的不同特征的不同实施例或实例。下面描述了组件和布置的具体实例以简化本发明。当然,这些仅仅是实例,而不旨在限制本发明。例如,以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件直接接触形成的实施例,并且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得第一部件和第二部件可以不直接接触的实施例。此外,本发明可在各个实施例中重复参考标号和/或字符。该重复是为了简单和清楚的目的,并且其本身不指示所讨论的各个实施例和/或配置之间的关系。
15.而且,为便于描述,在此可以使用诸如“在

之下”、“在

下方”、“下部”、“在

之上”、“上部”等空间相对术语,以描述如图所示的一个元件或部件与另一个(或另一些)原件或部件的关系。除了图中所示的方位外,空间相对术语旨在包括器件在使用或操作中的不同方位。装置可以以其它方式定向(旋转90度或在其它方位上),而本文使用的空间相对描述符可以同样地作出相应的解释。
16.提供了空气间隔件及其形成方法。根据本发明的一些实施例,牺牲间隔件被形成为环形,并且在牺牲间隔件包围的空间中形成诸如金属线、金属孔、接触插塞等的导电部件。然后,去除牺牲间隔件,从而留下包围导电部件的空气间隔件。根据本发明的实施例,空气间隔件的形成不需要去除并且然后重新填充介电材料,并因此不需要进行任何平坦化工艺,该工艺是昂贵的工艺。本文讨论的实施例将提供实例,以使得能够进行或使用本发明的主题,并且本领域技术人员将容易理解可进行同时保持在不同实施例的预期范围内的修改。贯穿各个视图和说明性实施例,相同的参考标号用于指示相同的元件。尽管方法实施例可被讨论为以特定顺序执行,但其他方法实施例可以任何逻辑顺序执行。
17.图1至图8、图9a、图9b和图10至图14和图15a示出根据本发明的一些实施例的形成导电部件和空气间隔件的中间阶段的截面图。也在图17所示的工艺流程中示意性地反映对应工艺。
18.图1示出封装组件20的截面图。根据本发明的一些实施例,封装组件20是包括有源器件和可能的无源器件的器件晶圆,这些器件由所示出的集成电路器件26表示。器件晶圆20可在其中包括多个管芯22,其中,示出管芯22中的一个。根据本发明的可选的实施例,封装组件20是中介层晶圆,它可或可不包括有源器件和/或无源器件。在后续讨论中,器件晶
圆讨论为封装组件20的实例。本发明的实施例也可应用于其他类型的封装组件,诸如中介层晶圆、封装衬底、封装件等。
19.根据本发明的一些实施例,晶圆20包括半导体衬底24和形成在半导体衬底24的顶面处的部件。半导体衬底24可由晶体硅、晶体锗、硅锗或诸如gaasp、alinas、algaas、gainas、gainp、gainasp等的iii-v族化合物半导体形成。半导体衬底24也可以是体半导体衬底或绝缘体上半导体(soi)衬底。浅槽隔离(sti)区(未示出)可以形成在半导体衬底24中,以隔离半导体衬底24中的有源区。尽管未示出,但可(或可不)形成贯穿通孔以延伸至半导体衬底24中,其中,贯穿通孔用于将晶圆20的相对侧上的部件相互电耦接。
20.根据本发明的一些实施例,集成电路器件26形成在半导体衬底24的顶面处。根据一些实施例,集成电路器件26可包括互补金属氧化物半导体(cmos)晶体管、电阻器、电容器、二极管等。图1未示出集成电路器件26的细节。图16示出根据一些实施例的示例性晶体管的示意图,该示例性晶体管包括栅极堆叠件110和源极/漏极区112,它们形成在半导体衬底24的顶面处。根据可选的实施例,晶圆20用于形成中介层,并且衬底24可以是半导体衬底或介电衬底。
21.层间电介质(ild)28形成在半导体衬底24上方,并填充集成电路器件26中的晶体管(未示出)的栅极堆叠件之间的空间。根据一些实施例,ild 28由磷硅酸盐玻璃(psg)、硼硅酸盐玻璃(bsg)、硼掺杂磷硅酸盐玻璃(bpsg)、氟掺杂硅酸盐玻璃(fsg)、氧化硅等形成或包括以上材料。ild 28可使用旋涂、流动化学气相沉积(fcvd)等来形成。根据本发明的一些实施例,使用诸如等离子增强化学气相沉积(pecvd)、低压化学气相沉积(lpcvd)等的沉积工艺来形成ild 28。
22.接触插塞30形成在ild 28中,并用于将集成电路器件26电连接至上面的金属线和通孔。根据本发明的一些实施例,接触插塞30由选自钨、铝、铜、钛、钽、氮化钛、氮化钽、其合金和/或其多层的导电材料形成。形成接触插塞30可包括在ild 28中形成接触开口,将一种或多种导电材料填充至接触开口中以及执行平坦化工艺(诸如化学机械抛光(cmp)工艺或机械研磨工艺)以使接触插塞30的顶面和ild 28的顶面齐平。
23.参考图2,在ild 28和接触插塞30上方形成蚀刻停止层32。在如图17所示的工艺流程200中,相应工艺被示出为工艺202。根据一些实施例,蚀刻停止层32与ild 28和接触插塞30的顶面接触。根据可选的实施例,存在位于ild 28与蚀刻停止层32之间的一个或多个层和对应部件。例如,在ild 28和蚀刻停止层32之间可存在一个或多个附加蚀刻停止层、附加ild、低k介电层等。对应地,在介电层中可存在接触插塞、通孔、金属线等。
24.蚀刻停止层32可包括氮化硅(sin)、碳化硅(sic)、氧氮化硅(sion)、碳氧化硅(sioc)、碳氮化硅(sicn)等。蚀刻停止层32也可包括金属氧化物、金属氮化物等。蚀刻停止层32可以是由均质材料形成的单层,或包括由不同材料形成的多个介电子层的复合层。根据本发明的一些实施例,蚀刻停止层32包括氮化铝(aln)层、氮化铝层上方的碳氧化硅层、以及碳氧化硅层上方的氧化铝层。
25.进一步参考图2,在蚀刻停止层32上方沉积介电层34。在如图17所示的工艺流程200中,相应工艺被示出为工艺204。根据一些实施例,介电层34也是ild层。根据可选的实施例,介电层34是用于形成金属线的金属间介电(imd)层。根据本发明的一些实施例,介电层34由具有低于3.8的介电常数(k值)的低k介电材料形成或包括该材料,并且介电常数也可
低于约3.0,诸如介于约2.5与3.0之间。介电层34可包括含碳低k介电材料、氢倍半硅氧烷(hsq)、甲基倍半硅氧烷(msq)等。根据本发明的一些实施例,形成介电层34包括沉积含成孔剂的介电材料,然后执行固化工艺以驱除成孔剂,因此剩余的介电层34变得多孔。
26.在半导体衬底imd上形成焊盘层36和硬掩模38。在如图17所示的工艺流程200中,相应工艺被示出为工艺206。焊盘层36可以是由氧化硅形成或包括氧化硅的薄膜。根据本发明的一些实施例,焊盘层36是将正硅酸四乙酯(teos)用作前体来形成的,并且沉积工艺可包括pecvd、cvd等。焊盘层36在介电层34与硬掩模38之间用作粘附层。焊盘层36也可用作蚀刻硬掩模38的蚀刻停止层。根据本发明的一些实施例,硬掩模38由氮化硅形成,例如使用低压化学气相沉积(lpcvd)。根据本发明的其他实施例,硬掩模38由例如可通过pecvd形成的氮化钛、氮化硼等形成或包括以上材料。在后续光刻工艺期间,将硬掩模38用作硬掩模。
27.进一步参考图2,在硬掩模38上形成光刻胶40,然后对该光刻胶进行图案化,从而在光刻胶40上形成开口42。在后续工艺中,使用光刻胶40以蚀刻硬掩模层36。在如图17所示的工艺流程200中,相应工艺被示出为工艺208。焊盘层36可用作蚀刻工艺的蚀刻停止层。因此,暴露焊盘层36。在蚀刻工艺之后,例如在灰化工艺中去除光刻胶40。
28.接下来,参考图3,将硬掩模38用作蚀刻掩模来蚀刻焊盘层36和介电层34,并且开口42延伸至介电层34中。在如图17所示的工艺流程200中,相应工艺被示出为工艺210。可通过使用nf3与nh3气体的混合物、hf和nh3气体的混合物等来通过干蚀刻工艺蚀刻焊盘层36。可选地,可通过使用例如hf溶液的湿蚀刻工艺来蚀刻焊盘层36。根据本发明的一些实施例,介电层34的蚀刻是使用由氟和碳组成的工艺气体来执行的,其中,氟用于蚀刻,碳具有保护介电层34的侧壁的作用,其中,侧壁面向通孔开口和沟槽。例如,用于蚀刻的工艺气体包括含氟和碳的气体(诸如c4f8、ch2f2、ch4、ch3f和/或cf4)以及载气(诸如ar、n2等)。蚀刻是各向异性的。
29.介电层34的蚀刻在蚀刻停止层32上停止。接下来,蚀刻穿透蚀刻停止层32,并且开口42进一步穿透蚀刻停止层32。在如图17所示的工艺流程200中,相应工艺被示出为工艺212。蚀刻化学剂是根据蚀刻停止层32的材料和层来选择的。例如,当蚀刻停止层32包括氧化铝、碳氧化硅、氮化铝等时,可使用诸如bcl3、cl2、cf4、chf3等的蚀刻气体,并且可添加氧气(o2)。在对介电层34进行蚀刻后,露出下面的层的导电部件(诸如当蚀刻停止层32处于接触插塞30正上方时,露出接触插塞30)。
30.根据一些实施例,开口42的宽度为w1,并且相邻开口42之间的间隔为s1,其中,宽度w1和间隔s1是在介电层34的顶面处测量的。相邻的开口42具有间距p1,这也是随后填充的导电部件(诸如金属线)的间距。根据一些实施例,宽度w1介于约9nm与约30nm之间的范围内,间隔s1介于约3nm与约10nm之间的范围内,并且间距p1介于约12nm与约40nm之间的范围内。
31.图4示出牺牲间隔件层44的沉积。在如图17所示的工艺流程200中,相应工艺被示出为工艺214。根据一些实施例,牺牲间隔件层44由诸如si的半导体或诸如氧化钛(tio
x
)、氧化铝(alo
x
)、氮化硅等的介电材料形成或包括以上材料。牺牲间隔件层44的厚度t1由预期的空气间隔件的理想宽度决定,并可介于约1nm与约10nm之间的范围内。沉积可通过共形沉积工艺执行,诸如cvd、ald、物理气相沉积(pvd)等。
32.应当了解,宽度w1、间隔s1、间距p1、厚度t1等与介电层34的位置有关。例如,当介
电层34是较低imd层(诸如用于金属化层m0、m1等的层)时,宽度w1、间隔s1、间距p1和厚度t1可较小,而当介电层34是较高imd层(诸如用于金属化层m8、m9或更高的层)时,宽度w1、间隔s1、间距p1和厚度t1可较大。
33.图5示出用于对牺牲间隔件层44进行图案化的各向异性蚀刻工艺43。在如图17所示的工艺流程200中,相应工艺被示出为工艺216。根据一些实施例,蚀刻是通过干蚀刻工艺执行的,其中,蚀刻气体可包括cl2、cf4、chf3、ch4、hbr、o2等,取决于牺牲间隔件层44的材料。由于各向异性的蚀刻工艺,牺牲间隔件层44的水平部分被去除。此外,在开口42的底部处,暴露导电部件30。牺牲间隔件层44的垂直部分留在开口42中,并留在蚀刻停止层32、介电层34、焊盘层36和硬掩模38的侧壁上。
34.图6至图8示出导电部件50的形成(图8)。参考图6,形成阻挡层46。在如图17所示的工艺流程200中,相应工艺被示出为工艺218。根据一些实施例,阻挡层46由钛、氮化钛、钽、氮化钽等形成或包括以上材料。阻挡层46可形成为共形层,它可使用cvd、ald、pvd等来形成。在形成阻挡层46后,形成金属晶种层(未示出)。金属晶种层可由铜形成或包括铜,并可例如使用pvd来形成。
35.图7示出导电材料48的沉积。在如图17所示的工艺流程200中,相应工艺被示出为工艺220。根据一些实施例,导电材料48包括铜或铜合金、钴、钨、铝等或其组合。沉积工艺可包括电化学镀(ecp)、化学镀、cvd等。导电材料48完全填充开口42。
36.接下来,执行诸如化学机械抛光(cmp)工艺或机械研磨工艺的平坦化工艺,以去除导电材料48和阻挡层46的过量部分。在如图17所示的工艺流程200中,相应工艺被示出为工艺222。平坦化工艺可在介电层34的顶面上或在焊盘层36的顶面上停止。也可执行平坦化工艺以去除介电层34的顶部部分。在图8中示出所得结构。在整个说明书中,导电材料48和阻挡层46的剩余部分被统称为导电部件50,它们可以是金属线、金属孔、接触插塞等。间隔环44包围对应的导电部件50。
37.图9a示出去除牺牲间隔件层44以形成空气间隔件52。在如图17所示的工艺流程200中,相应工艺被示出为工艺224。由于牺牲间隔件层44的一致性,空气间隔件52的厚度(横向尺寸)基本均匀,例如,空气间隔件的大部分的厚度的变化小于约20%。根据一些实施例,牺牲间隔件层44使用各向同性蚀刻工艺来执行蚀刻,该工艺可包括干蚀刻工艺和/或湿蚀刻工艺。例如,当执行干蚀刻工艺时,蚀刻气体可包括hf、nf3、o2、h2、nh3、cl2、cf4、chf3、ch4、hbr等或其组合,取决于牺牲间隔件层44的材料。当执行湿蚀刻工艺时,蚀刻化学剂可包括hf溶液、氨水(nh4oh)等。
38.根据一些实施例,完全去除牺牲间隔件层44,并且空气间隔件52延伸至下面的介电层(诸如ild 28,取决于介电层34的位置)的顶面。还有可能的是,空气间隔件52的工艺变化和高高宽比可能使得牺牲间隔件层44被部分去除。例如,牺牲间隔件层44的底部部分在去除工艺后可保持未被去除,并且虚线44t表示牺牲间隔件层44的残留物的顶面。牺牲间隔件层44的残留部分可形成环绕导电部件50的完整环。同样由于工艺变化和去除的不均匀性,包围一些导电部件50的牺牲间隔件层44可能被完全去除,而包围一些其他导电部件50的牺牲间隔件层44可能有残留。此外,对应间隔件层44的可能有一些部分被完全去除,并且底层的ild 28暴露,而同一间隔件层44的包围同一导电部件50的其他部分被留下作为残留物牺牲间隔件层。在图9a中示意性地示出实例,它表明在最右边的导电部件50的左侧存在
残留物牺牲间隔件层44,而牺牲间隔件层44的位于最右边的导电部件50的右侧的部分被完全去除。而且,残留物牺牲间隔件层44的包围同一导电部件50或不同导电部件50的不同部分可在不同层级具有顶面,诸如图9a所示的实例中指示。应当了解,上述空气间隙间隔件52和残留物牺牲间隔件层44可存在于同一晶圆和同一管芯22上。
39.图10示出金属帽54的形成。在如图17所示的工艺流程200中,相应工艺被示出为工艺226。根据一些实施例,金属帽54是通过选择性沉积工艺形成的,以使得金属帽54选择性地沉积在导电部件50的暴露表面上,而不是沉积在诸如牺牲间隔件层44和介电层34的介电材料的暴露表面上。根据一些实施例,选择性沉积工艺可通过ald或cvd执行。根据一些实施例,金属帽54由钴(co)、钨(w)、cowp、cob、钽(ta)、镍(ni)、钼(mo)、钛(ti)、铁(fe)或其组合形成或包括以上材料。当沉积金属帽54时,前体可包括金属卤化物(诸如wcl5)或金属有机材料和还原剂(诸如h2)。沉积工艺可以是在高温(诸如介于约275℃与约500℃之间的范围内)下执行的热工艺。沉积也可在接通等离子体的情况下执行。根据一些实施例,反应式为mx h
2-》m hx,其中,m表示金属,而mx表示金属卤化物,诸如wcl5。
40.根据一些实施例,金属帽54被限制在导电部件50正上方的区中。金属帽54可或可不包括稍微向侧面延伸以形成突出端的部分。突出端与导电部件50的侧壁的顶部部分接触,这些侧壁面向空气间隔件52。例如,图10示意性地示出虚线54’,虚线54’表示金属帽54的延伸部分。金属帽54的延伸部分54’可延伸至空气间隔件52的顶部部分中。此外,延伸部分54’可与介电层34间隔开,或可延伸足够远以接触介电层34的最近部分。因此,金属帽54可使空气间隔件52打开,也可部分或完全密封空气间隔件52。
41.图9a与图10的组合公开了其中首先形成空气间隔件52,随后形成金属帽54的实施例。根据可选的实施例,首先形成金属帽54,然后去除牺牲间隔件层44以形成空气间隔件52。此实施例在图9b与图10的组合中示出。参考图9b,沉积金属帽54。例如,通过控制金属帽54的厚度来控制沉积工艺,以使得金属帽54的横向延伸部分不会在牺牲间隔件层44的顶部上过度延伸。在形成金属帽54之后,牺牲间隔件层44的顶面有足够的部分仍然暴露。在形成金属帽54之后,去除牺牲间隔件层44。在图10中也示出所得结构。然而,根据这些实施例,金属帽54的整体高于介电层34的顶面,并且金属帽54不延伸至空气间隔件52中。
42.空气间隔件52的k值等于1.0,这比其他介电材料小,甚至是低k介电材料。随着空气间隔件的形成,相邻导电部件50之间的寄生电容被减少。
43.图11示出蚀刻停止层56的形成。在如图17所示的工艺流程200中,相应工艺被示出为工艺228。蚀刻停止层56与金属帽54接触并密封空气间隔件52(若尚未密封)。根据一些实施例,蚀刻停止层56可由选自sin、sic、sion、sioc、sicn或其组合的材料形成。蚀刻停止层56也可包括金属氧化物、金属氮化物等。蚀刻停止层56可以是由均质材料形成的单层,或包括多个介电子层的复合层。根据本发明的一些实施例,蚀刻停止层56包括aln层、aln层上方的sioc层以及sioc层上方的alo层。
44.图12至图14示出根据一些实施例的双镶嵌结构的形成。参考图12,沉积介电层58。在图17所示的工艺流程200中,相应工艺也被示出为工艺228。介电层58可由低k介电材料形成,它可选自用于形成介电层34的同一组候选材料。沟槽62和通孔开口60形成在介电层58中。在如图17所示的工艺流程200中,相应工艺被示出为工艺230。根据本发明的一些实施例,金属硬掩模(未示出)被形成和图案化以限定沟槽62的图案。执行光刻工艺来蚀刻介电
层58以形成通孔开口。通孔开口从介电层58的顶面延伸至介电层58的顶面与底面之间的中间层级。然后执行各向异性蚀刻以蚀刻介电层58,并将金属硬掩模用作蚀刻掩模来形成沟槽62。在形成沟槽62的同时,通孔开口向下延伸至金属帽54,因此形成通孔开口60。可使用时间模式来执行用于形成沟槽62的蚀刻。根据其他实施例,通孔开口60和沟槽62是在单独光刻工艺中形成的。例如,在第一光刻工艺中,形成向下延伸至金属帽54的通孔开口60。在第二光刻工艺中,形成沟槽62。然后金属帽54暴露于通孔开口60。
45.参考图13,形成图案化的牺牲间隔件层64。在如图17所示的工艺流程200中,相应工艺被示出为工艺232。牺牲间隔件层64的形成工艺包括沉积共形层,然后执行各向异性蚀刻工艺以去除共形层的水平部分。材料和工艺细节与图4和图5中讨论的类似,并在此不再重复。牺牲间隔件层64包括沟槽62中的第一垂直部分以形成第一环,以及通孔开口60中的第二垂直部分以形成第二环。第一环大于第二环,并与第二环断开连接。
46.接下来,参考图14,沉积扩散阻挡66和金属材料68。材料和形成工艺与图6至图8中讨论的类似,并且在本文不再重复细节。在沉积扩散阻挡66和金属材料68后执行平坦化工艺,从而形成通孔70和金属线72。在如图17所示的工艺流程200中,相应工艺被示出为工艺234。通孔70和金属线72中的每个被由牺牲间隔件层64的一部分形成的间隔环环绕。
47.图15a示出从金属线72的侧壁去除牺牲间隔件层64,从而形成空气间隔件74,该空气间隔件从晶圆20的顶部看时形成环。在如图17所示的工艺流程200中,相应工艺被示出为工艺236。去除也可通过各向同性的蚀刻工艺执行。所得的空气间隔件74可延伸至并可暴露于介电层58的下面的部分的顶面。可能且可能不留下残留物牺牲间隔件层64,其中,示例性残留物牺牲间隔件层64的顶面被示出为64t。此外,由于工艺变化和负载效应,残留物牺牲间隔件层64可能具有与先前段落讨论的残留物牺牲间隔件层44类似的情况。例如,牺牲间隔件层44的某些部分可能比其他部分有更多的残留物,而牺牲间隔件层44的某些部分可能没有残留物。可参考对空气间隔件52的讨论来发现可能的情况。
48.由于牺牲间隔件层64的环绕通孔70的部分无法被去除,因此牺牲间隔件层64的这些部分将留在最终结构中。应当了解,与空气间隔件和低k介电材料相比,牺牲间隔件层64的这些部分将导致寄生电容的增加。然而,通孔70在横向上较短,而最有可能与相邻通孔有较长的距离。因此,与由于空气间隔件74的形成而使得寄生电容的减少相比,寄生电容的不利增加较少。换句话说,寄生电容的减少抵消寄生电容的增加。
49.图15a进一步示出金属帽76的形成,该金属帽可由选自分别用于形成金属帽54的同一组候选材料和候选方法的材料和方法形成。金属帽76可在空气间隔件74形成之前或之后形成,这类似于图9a和图9b所示的实施例。另外,当金属帽76在形成空气间隔件74之后形成时,延伸部分76’可形成并延伸至介电层58的顶面之下。可选地,当金属帽76在形成空气间隔件74之前形成时,牺牲间隔件层64的全部,包括位于空气间隔件74正上方的延伸部分76’,将不延伸至介电层58的顶面之下。然后可沉积蚀刻停止层78。
50.图15b示出根据可选的实施例形成的无气隙通孔70’和金属线72’。这些实施例与如图15a所示的实施例类似,不同之处在于未形成牺牲间隔件层,并且相应地未形成空气间隔件。因此,通孔70’和金属线72’与周围介电层58的侧壁物理接触。应当了解,尽管图15b示出无气隙通孔70’和金属线72’直接形成在导电部件上方,但根据可选的实施例,图15a所示的空气间隔件74可形成直接在介电层34上方的介电层中,而无气隙通孔70’和金属线72’形
成在形成空气间隔件74的层上方,因为寄生电容问题在上金属层中没有下金属层中严重。
51.图15c示出根据一些实施例的空气间隔件52或74的俯视图。如图15c所示,空气间隔件52和74中的每个可形成环绕对应导电部件50/72的完整环。空气间隔件52中的每个可有基本均匀的宽度w1’(例如,变化小于约10%)。宽度w1’可在对应空气间隔件52和74的中间高度下测量。空气间隔件52的宽度w1’可与空气间隔件74的宽度w1’相同或不同。此外,从截面图看,空气间隔件52具有从上到下基本均匀的宽度(例如,变化小于约20%或小于约10%),并且空气间隔件74具有从上到下基本均匀的宽度(例如,变化小于约20%或小于约10%)。
52.图16示出晶圆20(管芯22)中可能的导电部件层的方案。晶体管114形成在半导体衬底24的顶面处,并且晶体管114表示如图15a和图15b所示的集成电路器件26。晶体管114包括栅极堆叠件110和源极/漏极区112。在晶体管114上方,存在接触(ct)层,在其中形成接触插塞30(图15a和图15b)。在其中可包括金属线的金属层m0形成在接触层上方。还形成诸如m1至m14的多个金属层以及诸如v1至v13的通孔层。这些层可使用单镶嵌工艺或双镶嵌工艺形成。空气间隔件可在这些层中的任一个的金属部件旁边以任何组合形成。当在使用单镶嵌工艺形成的层中形成空气间隔件时,可采用如图4至图8、图9a、图9b和图10至图11所示的工艺。当在使用双镶嵌工艺形成的层中形成空气间隔件时,可采用如图12至图15a所示的工艺。根据一些实施例,诸如金属层m0、m1、m2等下层可形成空气间隔件,因为下层中的导电部件彼此接近地间隔开,并且因此寄生电容可能会更严重。诸如金属层m14、m13、m12等上层可能不形成空气间隔件,因为上层中的导电部件彼此间隔开更远,并且因此寄生电容可能不太严重。根据一些实施例,存在阈值金属层(诸如m3、m4或m5),在阈值金属层和阈值金属层下方的一些(或全部)金属层中形成空气间隔件。然而,在阈值金属层上方的任何金属层中未形成空气间隔件。
53.本发明的实施例具有一些有利特征。通过形成空气间隔件,相邻导电部件之间的寄生电容可减少。此外,形成空气间隔件不包括重新填充并平坦化介电材料,并且降低了制造成本。
54.根据本发明的一些实施例,方法包括:蚀刻介电层以形成开口,其中,所述介电层下面的第一导电部件暴露于所述开口;沉积延伸至所述开口中的牺牲间隔件层;对所述牺牲间隔件层进行图案化,其中,去除所述牺牲间隔件层的位于所述开口的底部的底部部分以露出第一导电部件,并且留下所述牺牲间隔件层的位于所述开口内和所述介电层的侧壁上的第一垂直部分以形成第一环;在所述开口中形成第二导电部件,其中,所述第二导电部件被所述第一环环绕,并位于所述第一导电部件上方并电耦接至所述第一导电部件;以及去除所述第一环的至少一部分以形成空气间隔件。在实施例中,将所述牺牲间隔件层沉积为共形层。在实施例中,所述方法还包括在所述第二导电部件上方形成金属覆盖层,其中,所述金属覆盖层包括延伸至所述空气间隔件中的延伸部分。在实施例中,所述方法还包括在所述第二导电部件上方形成金属覆盖层,其中,在所述金属覆盖层形成后去除所述第一环。在实施例中,所述形成所述第二导电部件包括形成接触插塞。在实施例中,所述形成所述第二导电部件包括形成金属线。在实施例中,所述介电层的面向所述开口的所述侧壁基本是直的,并从所述介电层的顶面延伸至底面。在实施例中,所述开口包括沟槽和所述沟槽下面的通孔开口,并且所述第一环位于所述沟槽中,并且所述对所述牺牲间隔件层进行图
案化进一步在所述通孔开口中形成第二环。在实施例中,在所述第一环形成后的时间,所述第二环仍然存在。在实施例中,所述方法还包括在所述牺牲间隔件层上方形成附加介电层并密封所述空气间隔件,其中,所述第一环的残留部分留在所述附加介电层下面。在实施例中,完全去除所述第一环。
55.根据本发明的一些实施例,结构包括:第一导电部件;第一蚀刻停止层,位于所述第一导电部件上方;介电层,位于所述第一蚀刻停止层上方;第二导电部件,位于所述介电层和所述第一蚀刻停止层中,其中,所述第二导电部件位于所述第一导电部件上方并与之接触;空气间隔件,环绕所述第二导电部件,其中,所述第二导电部件的侧壁暴露于所述空气间隔件;以及第二蚀刻停止层,位于所述介电层上方并与之接触,其中,所述第二蚀刻停止层进一步位于所述第二导电部件上方。在实施例中,所述空气间隔件具有基本均匀的水平尺寸。在实施例中,所述空气间隔件从所述第一蚀刻停止层的顶面延伸至底面。在实施例中,所述结构还包括介电材料,所述介电材料位于所述第二导电部件的底部部分的侧壁下面并与之接触,其中,所述第二导电部件的顶部部分暴露于所述空气间隔件。在实施例中,所述介电材料形成环绕所述第二导电部件的所述底部部分的环,并且所述介电材料与所述介电层由不同的材料形成。在实施例中,在所述第二导电部件与所述空气间隔件之间不存在介电材料。
56.根据本发明的一些实施例,结构包括:第一导电部件;第二导电部件,位于所述第一导电部件上方并电耦接至所述第一导电部件,其中,所述第二导电部件包括;扩散阻挡;以及金属材料,位于由所述扩散阻挡形成的盆中;空气间隔件,环绕所述第二导电部件的顶部部分;以及介电层,环绕所述空气间隔件。在实施例中,所述结构还包括将所述第二导电部件的底部部分与所述介电层分隔开的介电材料,其中,所述介电材料位于所述空气间隔件正下面并暴露于所述空气间隔件。在实施例中,所述空气间隔件具有基本均匀的宽度。
57.上面概述了若干实施例的特征,使得本领域人员可以更好地理解本发明的方面。本领域人员应该理解,它们可以容易地使用本发明作为基础来设计或修改用于实施与本文所介绍实施例相同的目的和/或实现相同优势的其它工艺和结构。本领域技术人员也应该意识到,这种等同构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,本文中它们可以做出多种变化、替换以及改变。
再多了解一些

本文用于企业家、创业者技术爱好者查询,结果仅供参考。

发表评论 共有条评论
用户名: 密码:
验证码: 匿名发表

相关文献