一种残膜回收机防缠绕挑膜装置的制 一种秧草收获机用电力驱动行走机构

利用用于高性能EUV光致抗蚀剂的高EUV吸收剂的衬底表面改性的制作方法

2022-07-11 02:56:29 来源:中国专利 TAG:

利用用于高性能euv光致抗蚀剂的高euv吸收剂的衬底表面改性
通过引用并入
1.pct申请表作为本技术的一部分与本说明书同时提交。如在同时提交的pct申请表中所标识的本技术要求享有其权益或优先权的每个申请均通过引用全文并入本文且用于所有目的。
技术领域
2.本公开总体上涉及半导体处理领域。在特定方面中,本公开涉及具有辐射吸收层和成像层的图案化结构及其方法与设备。在一些实施方案中,辐射吸收层使成像层的辐射吸收率和/或图案化性能增强。


背景技术:

3.随着半导体制造的不断进步,特征尺寸不断缩小,并且需要新的处理方法。正在取得进展的一个领域是图案化(例如使用对光刻辐射敏感的光致抗蚀剂材料进行图案化)背景。
4.这里提供的背景描述是为了总体呈现本公开的背景的目的。当前指定的发明人的工作在其在此背景技术部分以及在提交申请时不能确定为现有技术的说明书的各方面中描述的范围内既不明确也不暗示地承认是针对本公开的现有技术。


技术实现要素:

5.本文的多种实施方案涉及在衬底上沉积光致抗蚀剂材料的方法、材料、设备以及系统。
6.在第一方面中,本发明包括一种制造图案化结构的方法,所述方法包括:提供衬底以接收图案;将辐射吸收层结合至所述衬底的表面上;以及提供成像层,其中所述辐射吸收层位于所述成像层底下,以增强所述成像层的辐射吸收率和/或图案化性能。
7.在一些实施方案中,所述成像层包括辐射敏感成像层、极紫外光(euv)敏感膜、光致抗蚀剂膜、硬掩模、或原子层沉积(ald)硬掩模。在其他实施方案中,所述辐射吸收层包括碘(i)、铟(in)、锡(sn)、铋(bi)、锑(sb)、碲(te)、其氧化物、其合金、或其组合。在特定的实施方案中,所述辐射吸收层包含第一元素,所述第一元素具有高图案化辐射吸收截面。在其它实施方案中,所述成像层包含第二元素,所述第二元素具有高图案化辐射吸收截面以及在暴露于图案化辐射下是可裂解的部分。所述第一元素和第二元素可以是相同的或者不同的。
8.在一些实施方案中,所述方法(例如在所述提供所述成像层之前)还包括:将卤素、烷基或卤代烷基部分结合至所述辐射吸收层的表面。
9.在其他实施方案中,所述结合包括:通过一或更多种前体的溅射、物理气相沉积(pvd)、化学气相沉积(cvd)、原子层沉积(ald)、基于等离子体的沉积、热诱导分解、或等离
子体诱导分解来沉积所述辐射吸收层。
10.在一些实施方案中,所述结合包括:提供包含碲(te)的第一前体以及包含金属氧化物的第二前体至所述衬底的所述表面,其中所述第一和第二前体各自以气相提供至所述衬底,从而在所述衬底上沉积所述辐射吸收层。在一些实施方案中,所述第一前体包含ter2或ter4,其中每一r独立为卤素、任选地取代的c
1-12
烷基、任选地取代的c
1-12
烷氧基、任选地取代的芳基、羟基、氧代基或任选地取代的三烷基甲硅烷基;且所述第二前体包括氧化锡、氧化锑或氧化铋。
11.在其他实施方案中,所述结合包括:在等离子体或热存在下,将所述衬底的所述表面暴露于包含具有高euv吸收截面的元素的蒸气,从而在所述衬底上沉积所述辐射吸收层。在特定的实施方案中,所述蒸气包括碘(i)、碘气(i2)、二碘甲烷(ch2i2)、锡(sn)、碲(te)、或双(烷基)碲(ter2)。
12.在一些实施方案中,所述辐射吸收层的表面还包括具有不稳定部分的光响应表面,所述不稳定部分暴露于所述图案化辐射下是可裂解的。在一些实施方案中,所述结合包括:在所述衬底的所述表面上沉积所述辐射吸收层;以及利用包含有所述不稳定部分的封端剂来将所述辐射吸收层封端。在特定的实施方案中,所述辐射吸收层包括氧化锡、锡、锡合金、氧化铋或碲;且其中所述封端剂包括经烷基取代的含金属前体(例如本文中所描述的任何前体)。
13.在还有的其他实施方案中,所述方法(例如在所述提供所述成像层之后)还包括:将所述辐射吸收层和所述成像层暴露于图案化辐射暴露,从而提供具有辐射暴露区和辐射未暴露区的暴露膜;并且将所述暴露膜显影,从而去除所述辐射未暴露区以提供所述图案。在一些实施方案中,相比于所述辐射未暴露区,所述辐射暴露区的特征在于所述辐射吸收层与所述成像层之间增强的粘附。
14.在其他实施方案中,所述方法(例如在所述提供所述成像层之前)还包括:相比于所述辐射未暴露区,将所述辐射吸收层的所述光响应性表面暴露于图案化辐射暴露,从而提供具有辐射暴露区和辐射未暴露区的图案化辐射吸收层。在一些实施方案中,所述辐射暴露区的特征在于用于沉积所述成像层的增强的成核作用。
15.在一些实施方案中,所述方法(例如在所述提供所述成像层之后)还包括:将所述辐射吸收层和所述成像层暴露于图案化辐射暴露,从而提供具有辐射暴露区和辐射未暴露区的暴露膜;以及将所述暴露膜显影,从而去除所述辐射未暴露区或所述辐射暴露区以提供所述图案。
16.在第二方面,本公开包含一种图案化结构,其包括:衬底,其用于接收图案;成像层,其在所述衬底的表面上;以及辐射吸收层,其在所述成像层下方。在一些实施方案中,所述辐射吸收层被配置成增强所述成像层的辐射吸收率和图案化性能。
17.在第三方面中,本公开包括处理衬底的设备,所述设备包括:(a)一或更多个处理室,其配置成保持衬底;以及(b)控制器,具有至少一个处理器和存储器,其中所述控制器配置成引起本文所述的任一方法。
18.在一实施方案中,每一处理室包括卡盘或基座。在其他实施方案中,所述设备包括通向所述处理室内的一或更多个气体入口和相关流量控制硬件;以及一或更多个气体出口,其用于将材料从处理室及相关流量控制硬件去除。
19.在特定的实施方案中,至少一个处理器与存储器彼此通信连接,且至少一个处理器至少可操作地与流量控制硬件连接。在其他实施方案中,所述存储器储存计算机可执行指令,其用于控制至少一个处理器以至少控制流量控制硬件,且用于引起本文所述的任一方法。
20.在第四方面中,所述设备包括沉积模块;图案化模块;显影模块;以及控制器,其包括一或更多个存储器装置、一或更多个处理器、以及编有指令(包括机器可读指令)的系统控制软件。
21.在一些实施方案中,沉积模块包括用于沉积辐射敏感膜(例如,euv敏感膜)、辐射吸收层、或成像层的室。在其他实施方案中,图案化模块包括具有小于300nm波长辐射源的光刻工具(例如,其中所述源可以是小于30nm波长辐射源)。在还有的其他实施方案中,显影模块包括用于将抗蚀剂膜显影的室。
22.在特定的实施方案中,控制器指令包括用于(例如,在沉积模块中)引起辐射吸收层及成像层沉积于衬底的顶表面上以形成膜(例如,辐射敏感膜)的机器可读指令。在其他实施方案中,控制器指令包括用于(例如在图案化模块中)引起以小于300nm的分辨率(例如,或具有小于30nm的分辨率)直接通过图案化辐射暴露而对膜进行图案化,从而形成具有辐射暴露区及辐射未暴露区的暴露膜的机器可读指令。在还有的其他实施方案中,暴露膜具有euv暴露区和euv未暴露区。在特定的实施方案中,控制器指令包括用于(例如在显影模块中)引起对暴露膜显影以去除辐射暴露区或辐射未暴露区以在抗蚀剂膜内提供图案的机器可读指令。在其他特定实施方案中,机器可读指令包括用于引起去除euv暴露区或euv未暴露区域的指令。
23.在一些实施方案中,用于引起沉积吸收层和/或成像层的机器可读指令还包括:用于引起具有高图案化辐射吸收截面的元素沉积的指令。在特定的实施方案中,所述元素具有高euv吸收截面。
24.在一些实施方案中,所述设备还可包括:清洁模块(例如,包括用于清洁衬底或膜的室)。在特定的实施方案中,控制器指令包括用于(例如,在清洁模块中)在所述沉积之后引起清洁半导体衬底的背侧表面或斜边和/或在所述沉积之后引起去除膜的边缘珠的机器可读指令。
25.在一些实施方案中,所述设备还可包括:烘烤模块。在特定的实施方案中,控制器指令包括用于(例如,在烘烤模块中)在所述沉积之后引起对膜烘烤和/或在所述图案化之后引起对暴露膜烘烤的机器可读指令。
26.在一些实施方案中,所述设备还可包括:蚀刻模块。在特定的实施方案中,控制器指令包括用于(例如在蚀刻模块中)在所述图案化之后引起蚀刻或去除暴露膜(例如,去除吸收层和/或成像层的暴露区或未暴露区)和/或衬底(例如,去除吸收层的暴露区或未暴露区底下衬底的一部分)。
27.在本文的任何实施方案中,吸收层级/或成像层包括euv敏感膜、duv敏感膜、uv敏感膜、光致抗蚀剂膜、可光图案化膜、或光响应粘附膜。
28.在本文的任一实施方案中,吸收层和/或成像层包括具有高图案化辐射吸收截面的金属或原子。在特定的实施方案中,金属或原子包括高euv吸收截面。在其他实施方案中,含金属层包括锡(sn)、铋(bi)、碲(te)、铯(cs)、、锑(sb)、铟(in)、钼(mo)、铪(hf)、碘(i)、锆
(zr)、铁(fe)、钴(co)、镍(ni)、铜(cu)、锌(zn)、银(ag)、铂(pt)或铅(pb),以及其组合。在一些实施方案中,吸收层和/或成像层为光敏层。
29.在本文的任一实施方案中,前体包括具有高图案化辐射吸收截面的金属或原子。在特定的实施方案中,金属或原子包括高euv吸收截面(例如,等于或大于l
×
107cm2/mol)。在其他实施方案中,前体包括sn、bi、te、cs、sb、in、mo、hf、i、zr、fe、co、ni、cu、zn、ag、pt或pb,以及其组合。在还有的其他实施方案中,前体为高光吸收前体(例如,具有高比尔(beer)吸收系数α,包括大于约6μm-1
或本文所述的其他值的α)。
30.在本文的任一实施方案中,所述结合吸收层和/或所述提供成像层包括提供一或更多种前体。非限制性前体包括具有式(i)、(ii)、(iia)、(iii)、(iv)、(v)、(vi)、(vii)、或(viii)的结构。在特定非限制性实施方案中,用于吸收层之前体包括具有式(i)、(ii)、(iii)、(iv)、(v)、(vi)、(vii)、或(viii)的结构。在其他实施方案中,用于成像层的前体包括具有式(ii)、(iia)、(vii)、或(viii)的结构。
31.在本文的任一实施方案中,所述结合吸收层包括提供一或更多种前体,其包括铟前体(例如,如本文所述的inr3)、锡前体(例如,如本文所述的snr2或snr4)、铋前体(例如,如本文所述的bir3)、锑前体(例如,如本文所述的sbr3)、碲前体(例如,如本文所述的ter2或ter4)、或碘前体(例如,如本文所述的过碘酸盐或ri)。
32.在本文的任一实施方案中,所述提供成像层包括在逆反应物(counter-reactant)存在下提供一或更多种前体(例如,本文所述的任一者,例如包括具有式(i)或(ii)结构的那些)。非限制性逆反应物包括含氧的逆反应物,包括氧(o2)、臭氧(o3)、水、过氧化物、过氧化氢、氧等离子体、水等离子体、醇、二羟基醇、多羟基醇、氟代二羟基醇、氟代多羟基醇、氟代二醇、甲酸及其他羟基部分的源、以及其组合。还有的其他非限制性逆反应物包括具有式zr2的硫属化物前体,其中z为硫、硒或碲;且其中每一r独立地为h、任选地取代的烷基(例如甲基、乙基、正丙基、异丙基、正丁基、叔丁基等)、任选地取代的烯基、任选地取代的芳基、任选地取代的氨基、任选地取代的烷氧基、或任选地取代的三烷基甲硅烷基。
33.在本文的任一实施方案中,采用单个前体来沉积层(例如,吸收层或成像层)。在其他实施方案中,采用两个或更多不同前体来沉积所述层。
34.在本文的任一实施方案中,沉积包括以蒸气形式提供或沉积金属前体。在其他实施方案中,沉积包括以蒸气形式提供一或更多种逆反应物。在特定的实施方案中,沉积包括cvd、ald或其等离子体增强形式。
35.在本文的任一实施方案中,层的沉积还包括提供逆反应物。非限制性逆反应物包括含氧的逆反应物或硫属化物前体,包括o2、o3、水、过氧化物、过氧化氢、氧等离子体、水等离子体、醇、二羟基醇、多羟基醇、氟化二羟基醇、氟化多羟基醇、氟化二醇、甲酸、其他羟基部分的源、以及zr2(例如,其中z为s、se或te;且每一r独立为h、任选地取代的烷基、任选地取代的烯基、任选地取代的芳基、任选地取代的氨基、任选地取代的烷氧基、或任选地取代的三烷基甲硅烷基)以及其组合。
36.本发明的其他特征和优点根据以下描述和权利要求将是显而易见的。
附图说明
37.图1a-1b呈现了非限制性图案化结构的示意图。提供(a)具有衬底101和成像层102
的结构:以及(b)具有衬底111、成像层112和在成像层112下方的辐射吸收层113的结构。
38.图2呈现了采用辐射吸收层213和成像层212的非限制性方法的示意图。
39.图3呈现了采用辐射吸收层313和硬掩模315的非限制性方法的示意图。
40.图4呈现了非限制性第一前体(1)与非限制性第二前体(2)之间的反应式。
41.图5呈现了用于制造并使用图案化结构的非限制性方法的示意图。
42.图6呈现了用于制造并使用图案化结构的另一非限制性方法的示意图。
43.图7a-7c呈现了非限制性图案化结构。提供(a)具有衬底711、成像层712及在成像层712下方的辐射吸收层713的结构;(b)非限制性图案化结构的图像;以及(c)非限制性方法的示意图。
44.图8呈现了非限制性曲线图,其示出了多种元素的极紫外光(euv)光吸收截面。星号(*)表示具有高euv光吸收截面的非限制性元素;且数字符号(#)表示辐射性的非限制性元素。与内壳电子激发相关的euv光(92ev,13.5nm)的吸收对化学键可具有很小的依赖性。
45.图9呈现了用于干式显影的处理站900实施方案的示意图。
46.图10呈现了多站处理工具1000的实施方案的示意图。
47.图11呈现了感应耦合式等离子体设备1100实施方案的示意图。
48.图12呈现了半导体处理集群工具架构1200的实施方案的示意图。
49.图13描绘了干式沉积设备1300的示例的截面示意图。
50.图14描绘了顶板、衬底和边缘环的一部分的详细侧截面图和平面图。
具体实施方式
51.在此详细参考本公开的具体实施方案。具体实施方案的示例在附图中示出。虽然将结合这些具体实施方案来描述本公开,但是应当理解,其不旨在将本公开限制于这种具体实施方案。相反,它旨在覆盖可包括在本公开的精神和范围内的替代、修改和等同方案。在以下描述中,阐述了许多具体细节以提供对本公开的透彻理解。可以在没有这些具体细节中的一些或全部的情况下实践本公开。在其他实例中,没有详细描述众所周知的处理操作,以免不必要地混淆本公开。
52.半导体处理中的薄膜的图案化经常是半导体制造中的重要步骤。图案化涉及光刻。在传统的光刻技术(例如193nm的光刻技术)中,通过以下方式印出图案:将光子从光子源发射至掩模上并将图案印在感光光致抗蚀剂上,从而在该光致抗蚀剂中产生化学反应,其使得在显影后移除光致抗蚀剂的某些部分以形成图案。
53.先进技术节点(如国际半导体技术发展蓝图(international technology roadmap for semiconductors)所定义的)包括22nm、16nm和更低的节点。例如,在16nm节点中,镶嵌结构中的典型通孔或线路的宽度通常不大于约30nm。将先进半导体集成电路(ic)及其他装置上的特征进行缩放驱使光刻术改善分辨率。
54.比起常规的光刻方法所能实现的,极紫外(euv)光刻术可通过移动至较小的成像源波长来扩展光刻技术。可将位于约10-20nm、或11-14nm波长(例如,13.5nm波长)的euv光源用于前缘光刻工具,其也称为扫描器。euv辐射被各种固体和流体材料(包括石英和水蒸气)强烈吸收,因此在真空中操作。
55.传统有机化学放大抗蚀剂(car)在使用于euv光刻中时有一些缺点,尤其是在euv
区域中有低吸收系数及光活化化学物质的酸扩散。为了克服低吸收系数,需要相对厚的car膜,但存在图案塌陷的风险。另外,酸扩散过程期间宽的清除半径导致图案化car膜中有相对高的线粗糙度。淬灭剂可用于减小酸扩散半径,但有降低敏感性的代价。因此,当前car的光刻性能不能达到所期望的euv光刻性能。
56.含有混合在有机成分中的金属和/或金属氧化物的直接可光图案化euv抗蚀剂因其可增强euv光子吸收并产生二次电子和/或相对于底层膜堆叠及装置层呈现了增加的蚀刻选择性而颇具潜力。有机金属抗蚀剂(例如可inpria corp.(corvallis,or)获得)具有显著更高的吸收系数,并可显著地更薄,且仍提供良好的抗蚀刻性。当前,用于高分辨率图案化应用的所有市售euv光致抗蚀剂都是基于溶液(湿式)的旋涂制剂。然而,旋涂制剂一般是产生空间同质(spatially homogenous)膜,其会受到取决于深度(depth-dependent)的euv剂量影响;一旦材料开始吸收约30%或更多的入射euv光子,则不可避免地会有更少的光子进入膜的底部,以诱导允许选择性显影所需的化学作用。该构思显示于图1a-1b中,如本文进一步描述的。旋涂制剂的另一潜在缺点可能是溶液的不稳定性和/或旋涂期间因环境变量而引起的膜性能变化。
57.基于金属-有机的抗蚀剂的干式沉积也已描述于例如2019年5月9日申请且名称为methods for making euv patternable hard masks的先前的国际申请pct/us19/31618中(公开为国际公开no.wo2019/217749),其涉及直接可光图案化的基于金属-有机的金属氧化物膜的组成、沉积和图案化以形成euv抗蚀剂掩模的公开内容都通过引用并入本文。在大多数或所有这些基于金属-有机的抗蚀剂中,金属中心(主要是sn)除外的所有其他元素都有低的euv吸收截面。将其他高euv吸收元素引入抗蚀剂中作为替代金属中心或作为逆反应物的一部分,或通过用氟或碘取代有机基团上的氢,即可进一步增加pr中的euv吸收,因而进一步减少图案化所需的euv剂量,而我们先前的成果已探讨了这些可能性。
58.通过提高敏感性、减小线边缘粗糙度和/或改善分辨率(在本文中称为“z因子”)来构思euv光刻有机金属抗蚀剂性能的进一步改善。本公开解决有机金属抗蚀剂膜的底层问题,且概述了改善这些抗蚀剂的敏感性的方法,其是通过将具有高euv吸收的元素结合至膜的光活性层下方以增加euv光致抗蚀剂的euv吸收率和图案化性能。所述的组成、结构以及方法可应用于干式沉积的有机金属光致抗蚀剂方案以及其他竞争方案,例如car和旋涂金属有机膜。图案化结构
59.本文的图案化结构(或膜)可包括衬底的表面上的成像层及在成像层下方的辐射吸收层。在特定的实施方案中,辐射吸收层的存在使成像层的辐射吸收率和/或图案化性能增强。
60.通常,通过层的光子吸收是深度相关的。当同质层或膜暴露于辐射时,与同一层的上部相比,该层的下部暴露于较低剂量的辐射,因为到达该下部的光子较少。因此,为了确保在整个层深度上充分和均匀的暴露,该层必须提供足够的辐射透射。如图1a中所看见的,同质成像层102设置于衬底101的顶表面上。在暴露于辐射时,穿过同质层的光吸收105取决于深度,且朝向衬底(在层102的下部)处有较低值105a,而远离衬底(在层102的上部)处则有较高值105b。
61.通过在成像层下方使用辐射吸收层,则可增加穿过成像层的辐射吸收。例如,通过
在膜的底部处提供吸收层(其相对于成像层具有密度增加的具有高euv吸收率的原子),则变得可更有效地利用可用的euv光子,并朝图案化结构的底部更均匀地分布吸收(以及二次电子的影响)。此外,在一些实例中,吸收层可有效地产生更多的二次电子,其可更好地将图案化结构的下部暴露。
62.如图1b中所看见的,图案化结构可包括成像层112,以及在成像层112下方并且在衬底111上方的辐射吸收层113。相比于缺少吸收层的结构,层112的下部115a与上部115b之间的光吸收可更加均匀。在暴露于辐射时,穿过该层的光吸收115受到吸收层113的影响,其可导致额外的二次电子114的产生,该二次电子可辅助成像层的底部的辐射暴露。以此方式,相比于缺乏吸收层的成像层中的光吸收,光吸收115可获改善。
63.吸收层可包括具有高光吸收截面的元素(例如,金属原子或非金属原子)(或高吸收元素),例如i、in、sn、sb、bi和/或te。可以任何有用的方式提供成层的这种元素,所述有用的方式例如利用一或更多前体(例如本文所述的任一者)的溅射沉积、包括pvd共溅射的物理气相沉积(pvd)、化学气相沉积(cvd)、等离子体增强cvd(pe-cvd)、低压cvd(lp-cvd)、原子层沉积(ald(例如,热ald及等离子体增强ald(pe-ald))、旋涂沉积、基于等离子体的沉积、热诱导分解、等离子体诱导分解、包括电子束(e束)共蒸发的e束沉积等或其组合。吸收层可以是设置在衬底表面上的光致抗蚀剂层或表面改性层。
64.吸收层与成像层中的一或两者可包括高吸收元素。在一实例中,吸收层与成像层两者都包括高吸收元素。吸收层与成像层中的每一者中的元素可以相同或不同。
65.在特定的非限制性实例中,相比于成像层,吸收层的特征在于具有增大的辐射吸收率。例如,吸收层可包括较高比例的未键合至大体积的末端取代基的euv吸收物质。在另一实例中,吸收层可包括具有较高吸收系数的元素,其高于成像层中存在的元素。例如,成像层可包括sn,而吸收层可包括te(例如,单独的te或te与sn的混合物)。在又一实例中,吸收层中的高吸收元素的浓度高于成像层中的。
66.吸收层除了调节光子或辐射吸收之外,还可提供其他功能。在一实例中,吸收层可以是光响应粘附层,其中暴露于辐射可增强对上覆成像层的粘附。在辐射暴露之前,吸收层的顶表面可包括不稳定部分(moiety),其在暴露于图案化辐射下是可裂解的。暴露后,不稳定部分可提供反应中心,其接着参与吸收层与成像层之间共价键的形成。以此方式,吸收层的顶表面可被表征为光响应表面,且吸收层本身可表征为光响应粘附层。
67.图2提供了使用具有光响应粘附层的图案化结构的非限制性方法200。非限制性图案化结构可包括衬底211、成像层212以及成像层212下方的吸收层213。可以看出,吸收层213上也存在光响应表面214。包括这种层的图案化结构可以用如本文所述的任何有用的方式形成。在一实施方案中,成像层为辐射敏感成像层、euv敏感膜或光致抗蚀剂层。
68.在操作201中,将图案化结构暴露于图案化辐射暴露下,以提供具有辐射暴露区212a和辐射未暴露区212b的暴露膜,其中图案化可包括使用具有辐射透光区和辐射不透光区的掩模224,其中辐射束225透射穿过辐射透光区,进入成像层212,并且进一步进入吸收层213。
69.辐射还可以将吸收层213的光响应表面214图案化。可以看出,光响应表面包括辐射暴露区214a和辐射未暴露区214b。在辐射暴露区214a中,在成像层212与吸收层213之间提供增强的粘附。在特定的实施方案中,增强的粘附可以降低所需的辐射剂量,以在成像层
和/或吸收层内提供所期望的图案化特征。
70.可选地,在操作202中,可烘烤暴露层,其可进一步促进吸收层与成像层之间的接合和/或增加暴露层的蚀刻选择性的对比。对图案化结构进行显影203可导致选择性地去除成像层212的辐射未暴露区212b并保持辐射暴露区212a。所得的图案化结构可以包括成像层的暴露部分212a、底下图案化吸收层213和衬底211。可选地,这种显影可导致选择性地去除吸收层213的一部分,例如选择性地去除成像层214的辐射未暴露区214b并保持辐射暴露区214a。
71.图3提供了制造具有光响应粘附层的图案化结构的非限制性方法300。这种方法300可包括提供衬底311,其具有设置在衬底311表面上的吸收层313。
72.在操作301中,吸收层313的光响应表面314被图案化,以提供图案化吸收层。可以看出,光响应表面314可包括辐射暴露区314a和辐射未暴露区314b。这种图案化可以包括将光响应表面暴露于图案化辐射暴露,因而从表面释放出不稳定部分并提供反应性中心。在特定的实施方案中,相比于辐射未暴露区,反应中心可提供具有增强成核作用的表面以用于成像层的沉积。可选地,可处理反应中心(例如,通过氧化或通过与本文所述的空气、水或逆反应物反应),以提供进一步的反应中心,其提供增强的成核作用。非限制性的反应中心和进一步的反应中心可包括m-h键或m-oh键,其中m为金属。可选地,可烘烤图案化吸收层,其可进一步促进吸收层与成像层之间的接合和/或增加暴露层的蚀刻选择性的对比。
73.在操作302中,吸收层上的进一步表面成像可提供硬掩模315。表面成像可包括选择性沉积于吸收层的辐射暴露区314a。这种选择性沉积可包括选择性ald、选择性cvd、选择性化学沉积(eld)、聚合物的选择性沉积、嵌段共聚物(bcp)的定向自组装(dsa)、或溶胶-凝胶的定向自组装,如本文所述的。
74.在操作303中,进一步的处理可提供包括硬掩模315、经蚀刻的衬底311a和经蚀刻的吸收层313a的图案化结构。在沉积硬掩模315之后,进一步的步骤可包括例如通过使用氧等离子体蚀刻下伏吸收层和衬底。
75.本公开涵盖采用具有吸收层的图案化结构的任何方法,其中这样的方法包含制造和/或使用这样的结构的此等方法。在一实施方案中,制造图案化结构的方法包括:提供衬底以接收图案;将吸收层结合于衬底的顶表面上;以及提供成像层,其中吸收层位于成像层底下以提高成像层的辐射吸收率和/或图案化性能。
76.吸收层可包括高吸收元素,其可通过使用一或更多种前体(例如,本文所述的任一者)来沉积。吸收层可主要包括高吸收元素。在一实施方案中,吸收层包括i、in、sn、bi、sb、te或其组合。在另一实施方案中,采用单一前体。在又一实施方案中,采用两种或更多种前体。可选地,前体与一或更多种逆反应物一起使用,如本文所述的。沉积可包括例如ald或cvd。如图4所看见的,吸收层可包括使用非限制性的基于te的前体(例如,双(三甲基甲硅烷基)碲,1)以及非限制性的有机锡前体(例如,四(叔丁氧基)锡,2)以提供具有三甲基甲硅烷基表面的snte材料(3),其可进一步反应(例如,与逆反应物或醇),以去除硅烷基。
77.吸收层的表面可包括不稳定部分,例如卤素、烷基(例如,支链烷基)、卤代烷基或本文所述作为式(i)或(ii)的r的任一者。图5示出了采用非限制性的图案化结构的方法500,该结构包括衬底511、设置在衬底511的顶表面上的吸收层513以及成像层512。非限制性吸收层包括具有不稳定部分r’的te,且非限制性成像层包括具有不稳定部分r的氧化锡
膜。
78.不稳定部分r’可提供钝化表面,其可以在暴露于辐射时被活化。在操作501中,图案化结构暴露于图案化辐射暴露,以在成像层512中提供具有辐射暴露区512a和辐射未暴露区512b的暴露膜,以及在吸收层513中提供辐射暴露区513a和辐射未暴露区513b。由于辐射暴露,不稳定部分r和r’被去除或裂解,因而提供金属反应中心,即成像层512中的sn和吸收层513中的te,其可反应以形成金属-金属(m-m)键(此处为sn-te键)。在成像层中,有机锡氧化物层中sn-r键的辐射诱导裂解也引起固结,以在辐射暴露区中提供更致密的金属氧化物(锡氧化物)网。
79.在操作502中,蚀刻或溶解成像层的未暴露区,因而释放出具有成像层的暴露部分512a的结构并提供图案。这种蚀刻可以包括干式蚀刻,例如使用本文所述的卤化物化学品。在操作503中,去除吸收层的未暴露区,因而提供具有吸收层的暴露部分513a的图案化结构。这种去除可以包括干式蚀刻,例如使用本文所述的卤化物化学、或等离子体蚀刻(例如,使用ch
4-基或f-基的等离子体,包括nf3、cf4等)。
80.图6提供了包含有te和不稳定部分(例如叔丁基)的吸收层的另一用途。该非限制性方法600包括提供衬底611(例如,可灰化的硬掩模碳底层)。在操作601中,沉积薄吸收层613,其中吸收层包括te或由te组成。吸收层的非限制性厚度为约3nm至5nm。在操作602中,吸收层的表面利用具有高吸收元素(例如,te)和不稳定部分(例如,叔丁基)的有机金属前体进行处理。包含有te和叔丁基(t-bu)的非限制性前体可以是(t-bu)teh或(t-bu)2te。
81.在操作603中,利用图案化辐射(例如,euv辐射)对吸收层613的表面614进行图案化,以提供暴露区614a和未暴露区614b。不稳定部分通过euv诱导裂解而释放出。例如,当不稳定部分为叔丁基时,从吸收层裂解该部分后释放异丁烯。
82.辐射暴露区可提供材料的增强沉积,因而在图案化表面上提供材料的选择性沉积。在一实例中,暴露区包括酸性基团,例如m-h键或m-oh键,其可引发金属氧化物材料的选择性生长或沉积。可以看出,在操作604中,含金属(m)-氧(o)材料615可选择性地沉积在暴露区614a上。这种材料可以通过使用可交替且重复输送的金属氧化物(mo
x
)前体和含氧前体(例如本文所述的水蒸气或逆反应物)来沉积。衬底制备
83.可利用期望的材料的衬底表面制备传入晶片,其中最上面的材料是其中转移有抗蚀剂图案的层。虽然材料选择可根据集成度而变化,但通常希望选择能够以对euv抗蚀剂或成像层的高选择性(即比之快得多地)蚀刻的材料。合适的衬底材料可包括各种基于碳的膜(例如可灰化硬掩模(ahm)、硅基膜(例如sio
x
,sic
x
,sio
xcy
,sio
x
ny,sio
xcy
nz)、a-si:h、多晶硅或sin),或施加以促进图案化过程的任何其他(通常是牺牲性的)膜)。在要图案化的衬底上存在产生显著形貌的器件特征的情况下,底层的另一个重要功能可以是覆盖和平面化现有的形貌,以便随后的图案化步骤可以在具有所有焦点图案区域的平坦表面上执行。对于这种应用,底层(或多个底层中的至少一者)一般是使用旋涂技术来涂布。当所采用的光致抗蚀剂材料具有显著的无机成分时,例如其显示出主要的金属氧化物骨架,则底层可有利地为基于碳的膜,其可通过旋涂或通过基于干式真空的沉积处理来涂布。该层可以包括具有基于碳及氢的组成的各种ahm膜,并可掺杂有例如钨、硼、氮或氟之类的额外元素。
84.在一些实施方案中,包含有暴露羟基的衬底包括包含有羟基封端的sno
x
的表面层
或膜。例如,衬底可以包括具有羟基封端的sno
x
表面的非晶碳。在不限制本技术的机制、功能或效用的情况下,据信羟基封端的sno
x
层可以提供益处,例如改进沉积在衬底表面上的材料的粘附力并增强图案化期间euv(或其他辐射)的吸收度。对euv或其他辐射的敏感性和分辨率可取决于sno
x
层的特性,例如厚度、密度和短程电荷转移特性。在多种实施方案中,sno
x
层具有0.1nm至20nm,或0.2nm至10nm,或0.5nm至5nm的厚度。
85.在一些实施方案中,羟基封端的sno
x
层通过气相沉积而沉积在衬底的表面上。在这种方法中,沉积包括使sn-xn与含氧逆反应物反应,其中x是配体,例如二烷基氨基(例如,二甲氨基、甲乙氨基和二乙氨基)、醇(例如,叔丁氧基和异丙氧基))、卤素(例如f、cl、br和i)或其他有机取代基(例如,乙酰丙酮、n2,n3-二-叔丁基-丁烷-2,3-二氨基)。例如,sn-xn可以是sncl4、sni4或sn(nr2)4,其中r是甲基或乙基,或sn(t-buo)4。在一些实施方案中,存在多种类型的配体。含氧逆反应物可选自由水、过氧化氢、甲酸、醇、氧气、臭氧及其组合成的组。
86.合适的气相沉积处理包括化学气相沉积(cvd)、原子层沉积(ald)、等离子体增强化学气相沉积(pecvd)或等离子体增强原子层沉积(peald)。在一些实施方案中,在沉积sn-xn和沉积含氧逆反应物的循环过程中,沉积是ald。在一些实施方案中,通过使sn-xn和含氧逆反应物同时流动,沉积是cvd。nazarov等人,atomic layer deposition of tin dioxide nanofilms:a review,40rev.adv.mater.sci.262(2015)中描述了本文中可用于沉积sno
x
层的材料和处理。sno
x
衬底可以通过如本文所述的cvd或ald处理沉积。
87.表面活化操作可用于活化表面以用于将来的操作。例如,对于siox表面,可以使用水或氧/氢等离子体在表面上产生羟基基团。对于基于碳或烃的表面,水、氢/氧或co2等离子体或臭氧处理可用于产生羧酸/或羟基基团。这种方法可以证明改进抗蚀剂特征对衬底的粘附性至关重要,否则衬底可能会在用于显影的溶剂中分层或剥离。
88.还可以通过在衬底表面中引起粗糙度以增加可用于相互作用的表面积以及直接改进机械粘附力来增强粘附力。例如,首先使用ar或其他非反应性离子轰击的溅射处理可以用来产生粗糙表面。然后,表面可以用如上所述的所需表面官能度(例如羟基和/或羧酸基团)封端。在碳上,可以采用组合方法,其中可以使用化学反应性含氧等离子体,例如co2、o2、h2o(或h2和o2的混合物)蚀刻掉具有局部不均匀性的膜的薄层,同时以-oh、-ooh或-cooh基团封端。这可以在有或没有偏置的情况下完成。结合上面提到的表面改性策略,这种方法可以起到衬底表面的表面粗糙化和化学活化的双重目的,既可以直接粘附到基于无机金属氧化物的抗蚀剂上,也可以作为中间表面改性以进一步官能化。沉积euv增强层实施方案1:沉积材料以增加euv光子吸收
89.一旦制备了衬底,例如通过如上所述的表面改性制备,就可以将晶片带入处理室以沉积材料,从而增强euv灵敏度。这将允许将表现出极高euv吸收率的元素结合到表面上。在沉积euv吸收增强层之后,可以在晶片上沉积euv敏感成像层,例如干式沉积有机金属层、旋涂有机金属层或化学放大抗蚀剂层。在暴露后,通过euv敏感成像层的一些euv光子可以被高euv吸收增强层吸收,从而产生二次电子。二次电子可以与euv敏感成像层相互作用,从而促进euv膜中的反应。以这种方式,例如在图1a-1b中概念性地描绘的,对于膜堆叠件,euv光子的灵敏度和使用有所提高。表面改性、euv吸收层的沉积和euv抗蚀剂的沉积可以在同
一室或不同的室中进行。
90.沉积增强层的优点可用具有比衬底更高的euv截面的任何元素来观察。最通常采用的基于碳的底层具有相对低的euv截面。因此,各种各样的元素可能适用于表面改性。一些特别合适的元素是那些表现出高euv吸收截面的元素,其可使用干式蚀刻处理有效地去除,包括in、sn、sb、te、i、pb和bi(参见图8)。也可利用这些元素的合金与氧化物以及其他化合物。
91.euv增强层的厚度将根据整合方案而变化。所得到的衬底表面改性层可以是非常薄的,范围为0.3nm至5nm,这是由于深度大于5nm处所产生的二次电子不太可能向上穿透膜并进入抗蚀剂层。然而,可以以对光致抗蚀剂膜的高蚀刻选择性来被蚀刻的任何euv吸收底层也可涂覆得更厚,并且在一些情况下,如果存在允许使用底层膜作为蚀刻掩模以选择性去除底层装置层的蚀刻化学物质,则这可以证明是有利的。
92.还已在许多基于有机物的制剂中通过例如用c-f键取代c-h键来实现显著增强的euv吸收。尽管本公开不受任何特定操作理论限制,但除了增加euv吸收外,应当理解的是,含c-f键的化合物可以在euv暴露后产生二次电子,进而可能释放出f阴离子,从而提供euv引起溶解度或干式蚀刻速率变化的另一潜在机制。
93.实现表面改性的一种方法是通过使用含有euv吸收元素的反应性物质。在一些重复中,反应性物质的蒸气可通过晶片。可加热晶片以提供热能使反应进行。在一些重复中,加热可介于50-250℃之间。在一些示例中,可以使用反应物的脉冲,其通过泵和/或清扫步骤被隔开。在一些示例中,可在反应物脉冲之间脉冲化逆反应物,从而导致ald或类-ald生长。在一些示例中,反应物与逆反应物两者可同时流动。可用于表面改性的元素的示例包括i、f、sn、bi、sb、te、以及这些化合物的氧化物或合金。
94.根据该技术的表面改性的一些示例包括纯元素或其任何充分挥发性化合物的蒸发/升华。还可通过多种溅射或物理气相沉积技术来涂布涂层。
95.原子层沉积(ald)技术也可有利地用于可控地涂布这种薄膜。以此方式,碲化锡、碲化锑或碲化铋的薄的高euv吸收层可使用多种挥发性的基于金属烷氧化物的前体与双(三甲基甲硅烷基)碲的交替流动来沉积。其一示例以图4中的snte2薄膜沉积来说明。这种处理的一个特别优点是能够微调界面以最大化对基于无机金属氧化物的抗蚀剂覆盖层的粘附。
96.根据本公开的可适于实现表面改性的另一方法是通过等离子体技术。可激励等离子体,使得等离子体内的反应性物质与表面发生反应,以沉积高euv吸收元素。等离子体可以是远程等离子体或直接等离子体。
97.这些等离子体技术的一些示例包括等离子体沉积的可灰化碳硬掩模(ahm)膜,其通过暴露于惰性载气中的碘蒸气流中所产生的等离子体来改性。i2等离子体可用于产生反应性i2或原子i物质,其可破坏表面c-c键并将其自身插入以在表面形成c-i官能度。这种等离子体方案可适用于主要含有c-c单键的类金刚石碳膜以及碳原子间含有双键的材料。替代地,可通过在晶片表面上暴露于二碘甲烷/he或其他碘代烃流中所产生的等离子体放电,将薄的富碘层接枝于表面上。
98.替代地,可采用挥发性有机金属前体的热、化学反应或等离子体诱导分解来产生具有主要元素组成的膜。例如,薄的富碲膜可通过双(叔丁基)碲在加热至250℃的ahm碳膜
上热分解来沉积。替代地,可以在双(叔丁基)碲与h2或he的流中点燃rf等离子体,从而使富碲层沉积在ahm膜的表面上。类似地,这种层可通过双(三甲基甲硅烷基)碲与水蒸气之间的化学气相沉积反应来沉积。在又一示例中,薄的富sn膜可通过在含有锡前体(例如四(二甲基氨基)锡或四(异丙基)锡)的混合物中产生等离子体来形成,其通过失去一或更多二甲基氨基或异丙基配位基而使含sn物质键合至表面上。实施方案2:用于增强euv光子吸收且具有响应表面粘附性的材料的沉积
99.在第二实施方案中,可沉积一种材料,其将改性表面以增强euv光吸收并对euv敏感成像层提供光响应可定制的表面粘附性。该方法的示例描绘于图2和图5中。例如,改性处理可留下被例如烷基(如,叔丁基、正丁基、仲丁基、异丙基等)或氟取代烷基(例如-cf3、-cf2cf3)的euv不稳定基团封端的表面。在euv吸收层涂布之后,euv敏感成像层(例如干式沉积的有机金属层、旋涂的有机金属层或化学放大抗蚀剂)可沉积于晶片上。该沉积可在同一室中或分开的室中发生,但在从真空去除后具空气反应性的表面上,使室整合可能是有利的。
100.根据该实施方案,可改性衬底,使得其将吸收额外的euv光子并产生二次电子。这些二次电子可接着进入euv敏感成像层,以在膜中引起进一步的暴露,因而增强获得euv光子的效率。另外,该层可使得能调节euv敏感成像层对改性表面的粘附,使得暴露区相比于未暴露区具有获得改善的粘附。该效果与增强负型抗蚀剂的性能特别相关,在负型抗蚀剂中,暴露区变得不溶并在显影后保留。
101.干式沉积的有机金属euv抗蚀剂的该实施方案的示例如下:euv照射区中的表面上所存在的烷基可进行β-氢消除,以便移除烷基,从而在界面上留下m-h基团。在暴露于氧和水分后,这些基团可转化为m-oh基团。在暴露或随后的暴露后烘烤步骤中,一些这样的基团可能与已存在于膜中或在抗蚀剂膜中通过euv诱导的反应所产生的羟基发生反应,从而在暴露的抗蚀剂膜与衬底之间形成强的键。暴露后烘烤可进一步增强粘附并增加交联点的数量。这种粘附差异可有助于根据需要选择性地去除负型抗蚀剂中的未暴露区。旋涂的有机或金属有机抗蚀剂也可通过类似机制获益。
102.底层组成可以变化。候选euv吸收剂包括in、sn、sb、te、pb、bi、及其合金或包括未列出的其他元素的化合物。euv不稳定基团也可变化,但包括烷基c
nh2n 1
(优选其中n≥3),且包括氟取代烷基。底层可通过湿式或干式处理来沉积。用于制作这些层的一些示例性方法包括以下项:
103.具有烷基封端的ald或cvd氧化物:可通过ald或cvd沉积薄金属氧化物或金属。示例包括snox、biox及te。沉积之后,可用式mr
x
ly的经烷基取代的前体来覆盖膜,其中m为金属,其优选具有高euv吸收,r为烷基或氟代烷基,l为与薄金属氧化物或金属表面反应的配位基,x为等于或大于1的整数,且y为等于或大于1的整数。可使用逆反应物以更好地去除配位基,且可重复多个循环以确保衬底表面完全饱和。该表面接着即准备好以沉积euv敏感成像层。一种可能的方法是产生snox薄膜。可能的化学过程包括通过循环四(二甲基氨基)锡和逆反应物(例如水或o2等离子体)来生长sno2。生长后,可使用封端剂(capping agent)。例如,可使异丙基三(二甲基氨基)锡蒸气流过表面。
104.具有原位封端的等离子体辅助处理,例如烷基封端的sn界面改性:一种方法涉及
在衬底底层的表面上沉积基于金属sn或sn合金的薄膜。烷基有机金属锡前体的蒸气可流入室。取决于sn的氧化态,烷基锡前体可以具有式snr2或snr4。烷基可以是c
nh2n 1
,其中n一般大于或等于2。示例包括四异丙基锡和四(叔丁基)锡。前体蒸气可夹带于合适的载气(例如h2、he、ar或n2)中并流入室,并且在晶片与喷头之间点燃rf等离子体。该结果是沉积具有类似于元素sn的基本组成的膜。等离子体的功率在整个沉积中可被调整,并且可能在结束时降低。接着停止等离子体功率,但仍使烷基锡前体流动。该结果可能是被烷基封端的锡底层。抗蚀剂(例如金属有机抗蚀剂)接着可沉积于改性层上,并且带入euv扫描仪进行暴露。euv暴露后,改性界面的烷基可进行β-氢消除,导致在界面处形成sn-h键。在该阶段,或在暴露后烘烤期间,sn-h键可与抗蚀剂发生反应,以在界面上形成sn-o-sn桥,从而有效地提高暴露区中的膜的粘附性。
105.烷基封端的te界面改性:类似于烷基封端的sn界面改性所述的方法,可采用有机碲前体以沉积主要te组成薄膜,其提供高euv吸收率。有机-te前体可具有式rteh或r2te,其中r为烷基或配位基分子。烷基可以是c
nh2n 1
,其中n大于或等于3。示例包括氢化叔丁基碲、二叔丁基碲、二(异丙基)碲、或双(三甲基甲硅烷基)碲。典型的稀释气体可以包括h2、he、ar或n2。点燃rf等离子体导致主要te膜的沉积。等离子体的功率在整个沉积中可被调整,可以在结束时降低并熄灭,并且仍使有机碲前体流动,因而形成被烷基封端的te底层。euv抗蚀剂(例如旋涂或干式沉积的金属有机抗蚀剂,如上所述)接着可沉积在改性层上,并且带入euv扫描仪进行暴露。euv暴露后,膜底部的te(例如沉积在ahm碳底层表面上)将强吸收在抗蚀剂材料中未被捕获的euv光子,因而最大程度地产生可能引起烷基裂解的二次电子并在界面处形成te-sn键。存在于改性界面处的烷基可进行β-氢消除,导致在界面处形成反应性te-h键。烘烤后,te-h可能与顶部抗蚀剂膜中的sn反应并形成稳定的sn-te键。该方法的一示例描绘于图5中。
106.cf
x
和oh、o、coo表面改性:在该示例中,可通过在界面处结合f来实现增强的euv吸收,并且还包括oh、o或coo基团以调整粘附性。通过来自有机(基于碳)底层的氟碳化合物(或nf3、sf6或其他f源)等离子体处理的f的存在,可增强euv暴露区的吸收。为了建立薄cf
x
封端表面,可以在一或更多种氟化烃前体(示例包括ch2f2、c4f6、chf3、ch3f、cf4、或c4f8)中产生rf等离子体,并且可选地与例如o2、h2和/或co2的气体组合以促进极性部分的形成,从而有利于经euv-暴露的基于金属氧化物的抗蚀剂材料对衬底表面的粘附。激励等离子体并且选择条件,使得cf
x
层在同样显示出可有效形成预期得以增强与暴露金属有机膜粘附的表面官能度的条件下沉积在晶片上。抗蚀剂(例如干式沉积的有机金属膜)接着被涂布于改性的衬底表面上,并进行euv图案化。在euv暴露后,会产生自由f离子,并表现出形成不溶性氟化物复合物的趋势,该复合物更能抵抗溶解于光致抗蚀剂显影剂中。实施方案3:用于表面成像的高euv吸收的沉积
107.在第三实施方案中,可沉积一种材料,其将起作用以既增强euv光子吸收作用,又可作为本身具有euv活性且能够通过表面成像技术进一步处理的表面,其示例描述于我们的先前申请no.62/767,198中,其表面成像技术的公开内容通过引用合并于此。该方法的示例描绘于图3和图6中。可将晶片带入处理室中沉积材料,以增强衬底表面处的euv敏感性。例如,表面改性处理可留下被euv不稳定基团封端的晶片衬底表面,所述不稳定基团例如烷基(如叔丁基、正丁基、仲丁基、异丙基等)或氟取代烷基(例如-cf3、-cf2cf3)。接着可将晶片
移至euv扫描仪并进行暴露。暴露后,可将晶片从扫描仪中取出,任选地进行烘烤,任选地将其暴露于受控量的氧或水分,接着使用表面成像技术(例如本文所述表面成像技术中的一者)进行处理。可能有利的是整合:表面改性工具与euv扫描仪;euv扫描仪与表面成像工具;或表面改性工具、euv扫描仪与表面成像工具,以在不同步骤之间有受控的环境,优选有最少或仔细受控的水分和/或氧暴露。
108.表面成像可采用许多形式。这些包括选择性ald或cvd处理、通过eld处理进行的选择性沉积、或在表面上旋涂溶胶-凝胶。一般机制取决于暴露后的表面差异。在暴露区中,euv不稳定基团可以被去除,且表面从m-r转换成m-h(通常变成-oh)表面。m-h或m-oh表面相对于初始m-r官能性的反应性及表面能的差异可实现后续处理,而高euv吸收底层的存在可提高euv光子的获取。
109.高euv吸收底层的组成可以变化。候选成分euv吸收元素包括in、sn、sb、te、pb、bi、及其合金或包括与其他元素一起的化合物、以及其氧化物、氮化物、碳化物或任何混合物。euv不稳定基团也可以变化,例如包括烷基c
nh2n 1
(较佳其中n≥3)以及氟取代烷基(例如-cf3、-cf2cf3)。底层可通过湿式或干式处理沉积。用于制造这些层的一些示例性方法包括以下项:
110.用于表面成像的热ald处理:可用ald涂层(例如sno2、te、biox、snte等的涂层)来改性衬底,从而使烷基封端膜沉积于表面上。这可通过经烷基取代的ald前体来完成,如我们在先前申请no.62/767,198中更详细描述的,其表面成像技术的公开内容通过引用合并于此。
111.烷基封端的te成像层:类似于针对烷基封端的sn界面改性所述的处理,可以使有机-te蒸气流入室。该有机-te前体可以具有式rteh或r2te,其中r为烷基或配位基分子。该烷基可以是式c
nh2n 1
,其中n大于或等于3。示例包括氢化叔丁基碲、二(叔丁基)碲、二(异丙基)碲、或双(三甲基甲硅烷基)碲,利用与载气形成混合物以供应至室(载气通常包括h2、he、ar或n2中的至少一者)的前体以及等离子体激励而导致薄te膜沉积。等离子体的功率在整个沉积中可被调整,且可能在结束时降低。可停止等离子体功率,并且使有机-te前体仍流动,从而形成被烷基封端的te薄层。euv暴露后,高吸收te层会产生大量二次电子,其会导致这些烷基进行β-氢消除,因而在界面处形成te-h键。可选地,这些键可通过引入水分而转化为te-oh键。晶片接着可通过表面成像技术进行处理。该方法的一示例描绘于图6中。
112.高euv底层 cf
x
表面改性:在该示例中,cf
x
聚合物膜沉积在高euv吸收膜顶部上。吸收膜可以是各种材料,包括上述的sn和te层。为了沉积基于氟碳化合物的膜,可以使具有氟、碳以及可选氢的气体流入室中。示例性气体包括,但不限于,ch2f2、c4f6、chf3、ch3f、cf4和c4f8。在一些示例中,也可添加惰性气体(例如n2、ar或he)以及可选地添加氢,并以选定条件激励等离子体,以使cf
x
层沉积在晶片上。可调节cf
x
层的化学和沉积条件,使其对某些气相化学物质极具惰性。euv暴露后,通过由euv产生的二次电子所引起的f或cf
x
基团的吸引,可以在表面上建立反应位点。接着可将晶片带入可进行表面成像的ald室中。ald前体可不表现出与未改性的氟碳化合物改性界面的反应性,但会在暴露区成核。因此,可通过该方法形成蚀刻硬掩模,并将其转移至底层中,例如,如我们先前的申请no.62/767,198中所述,其表面成像技术的公开内容通过引用合并于此。一些非限制性应用和优点
113.本公开中所述的技术能够通过结合高吸收euv材料和/或控制表面粘附来调节衬底化学性质。其中许多潜在用途及优点包括以下项:降低多种光致抗蚀剂中euv剂量要求的潜力,其通过在抗蚀剂附近的界面处结合更高吸收euv材料以注入额外二次电子至抗蚀剂中,从而可更有效地获取euv光子;euv响应粘附,以使得能更容易去除未暴露区(较少浮渣),并促进暴露区中的粘附;以及基于选择性硬蚀刻掩模的选择性ald生长,实现新颖的“无光致抗蚀剂”表面成像方案的潜力。这提供以最低可能的euv暴露剂量进行图案化的潜力。
114.还有的其他潜在优点包括与旋涂相比的与干式真空沉积相关的优点。在一实例中,使用干式沉积使得表面制备与涂层质量和均匀性完全无关,其可使得能沉积更薄的膜而没有缺陷或对粘附层或表面预处理的要求。此外,关于溶液稳定性的限制可被降至最小或消除,其可使得能探索更具反应性且同质性的组合物,以提供剂量及lwr降低的潜力。也可完全消除溶解度限制,其可使得能扩展至特别地针对干式显影的不溶性组合物。另外,这种干式沉积方法可以更容易地与用于底层界面改性以提高euv吸收及反应性的有前景的策略整合。如图7a中所见的,图案化的结构可以包括在衬底711上方且在成像层712下方的锡、碘或碲掺杂ahm底层713。这种结构在两界面715a、715b处可具有增强的euv吸收715。
115.潜在的应用包括与扩大范围的衬底及装置一起使用,包括直接在装置形貌上进行沉积及图案化的可能性(参见图7b中的非限制性衬底)。一种应用包括通过选择性粘附/附着至下伏(平坦化)装置特征的潜在自对准。
116.另一非限制性潜力包括选择性去除暴露不足的侧壁(自对准双重图案化(sadp)的反向)。尤其是,该方法包括对沉积在装置特征的竖直侧壁上的材料(例如,膜、吸收层和/或成像层)的暴露不足及选择性去除。因此,剩余暴露膜材料的所得到的分布与标准sadp工作流程中所实现的分布相反,在标准sadp工作流程中,应用各向异性等离子体蚀刻以去除顶部和底部水平表面上的材料,而保留竖直侧壁上的材料。图7c提供了这种应用的非限制性方法,其包括提供包括平面结构711a和特征711b的衬底。该方法还可包括在衬底上沉积膜712的操作701、将膜暴露于辐射的全面暴露的操作702、以及对暴露膜进行显影的操作703(例如,通过湿式或干式负型显影)。在显影之后,所得到的结构可包括设置在特征711b的顶表面上的水平悬垂部分712b以及设置在平面结构711a的顶表面上的水平平面部分712a。膜的暴露不足可提供这种悬垂部分712b,并将设置在垂直侧壁711c上的膜的暴露降至最小。在非限制性实施方案中,膜可包括光响应粘附层,以增强膜对特征表面的粘附。这种暴露的竖直侧壁可用于在架构内提供侧向连接(例如,侧向电性连接)或与其他装置或线路的连接。前体
117.本文中的层(例如,辐射吸收层和/或成像层)可包括具有高光吸收截面(例如等于或大于1
×
107cm2/mol)的元素(例如,金属原子或非金属原子)。可通过沉积一或更多种前体来提供这种元素,以提供吸收层和/或成像层。
118.底层和成像层单独或一起可以被认为是膜。在一些实施方案中,膜是辐射敏感膜(例如,euv敏感膜)。如本文进一步描述的,该膜又可用作euv抗蚀剂。在特定的实施方案中,层或膜可包括可通过辐射(例如,euv或duv辐射)去除、裂解或交联的一种或多种配体(例
如,euv不稳定配体)。
119.前体可以提供对辐射敏感的可图案化膜(或图案化辐射敏感膜或可光图案化膜)。这种辐射可以包括euv辐射、duv辐射或uv辐射,其通过穿过图案化掩模照射而提供,从而是图案化辐射。膜本身可以通过暴露于这种辐射而改变,使得膜是辐射敏感的或光敏的。在特定的实施方案中,前体是有机金属化合物,其包括至少一个金属中心。在其他实施方案中,由前体获得的膜的特征在于,在对膜暴露(例如,来自uv、duv或euv暴露)的图案化辐射波长下有大于约6μm-1
(例如,大于约7μm-1
、8μm-1
、9μm-1
、10μm-1
、20μm-1
、30μm-1
、或更高)的比尔(beer)吸收系数
ɑ

120.前体可以具有任何有用数量和类型的配体。在一些实施方案中,配体的特征可在于其在逆反应物存在下或在图案化辐射存在下反应的能力。例如,前体可以包括与逆反应物反应的配体,其可以在金属中心之间引入连接(例如-o-连接)。在另一实例中,前体可以包括在图案化辐射存在下消除的配体。这种euv不稳定配体可包括具有β-氢的支链或直链烷基基团,以及本文针对式(i)或(ii)中的r所述的任何基团。
121.前体可以是任何有用的含金属的前体,例如有机金属试剂、金属卤化物或封端剂(例如,如本文所述)。在非限制性实例中,前体包括具有式(i)的结构:marb(i),其中:m是具有高euv吸收截面的金属或原子;每个r独立地为h、卤素、任选取代的烷基、任选取代的环烷基、任选取代的环烯基、任选取代的烯基、任选取代的炔基、任选取代的烷氧基、任选取代的烷酰氧基、任选取代的芳基、任选取代的氨基、任选取代的双(三烷基甲硅烷基)氨基、任选取代的三烷基甲硅烷基、氧代、阴离子配体、中性配体或多齿配体;a≥1;并且b≥1
122.在另一个非限制性实例中,前体包括具有式(ii)的结构:marblc(ii),其中:m是具有高euv吸收截面的金属或原子;每个r独立地为卤素、任选取代的烷基、任选取代的芳基、任选取代的氨基、任选取代的烷氧基或l;每个l独立地为配体、阴离子配位体、中性配体、多齿配体、离子,或其他与逆反应物反应的部分,其中r和l与m一起可任选地形成杂环基基团或其中r和l一起可任选地形成杂环基基团;a≥1;b≥1;并且c≥1。
123.在一些实施方案中,前体中的每个配体可以是与逆反应物反应的配体。在一个实例中,前体包括具有式(ii)的结构,其中每个r独立地为l。在另一实例中,前体包括具有式(iia)的结构:malc(iia),其中:m是具有高euv吸收截面的金属或原子;
每个l独立地是配体、离子或其他与逆反应物反应的部分,其中两个l一起可以任选地形成杂环基基团;a≥1;并且c≥1。在式(iia)的特定实施方案中,a为1。在另外的实施方案中,c为2、3或4。
124.对于本文的任何式,m可以是金属或准金属或原子,其具有高图案化辐射吸收截面(例如,等于或大于1x107cm2/mol的euv吸收截面)。在一些实施方案中,m是锡(sn)、铋(bi)、碲(te)、铯(cs)、锑(sb)、铟(in)、钼(mo)、铪(hf)、碘(i)、锆(zr)、铁(fe)、钴(co)、镍(ni)、铜(cu)、锌(zn)、银(ag)、铂(pt)和铅(pb)。在另外的实施方案中,式(i)、(ii)或(iia)中的m是sn,a是1,并且c是4。在其他实施方案中,式(i)、(ii)或(iia)中的m是sn,a是1,并且c是2。在特定的实施方案中,m为sn(ii)(例如,在式(i)、(ii)或(iia)中),从而提供为基于sn(ii)的化合物的前体。在其他实施方案中,m是sn(iv)(例如,在式(i)、(ii)或(iia)中),从而提供为基于sn(iv)的化合物的前体。在特定的实施方案中,前体包括碘(例如,如在高碘酸盐中)。
125.对于本文的任何式,每个r独立地为h、卤素、任选取代的烷基、任选取代的环烷基、任选取代的环烯基、任选取代的烯基、任选取代的炔基、任选取代的烷氧基(例如-or1,其中r1可以是任选取代的烷基)、任选取代的烷酰氧基、任选取代的芳基、任选取代的氨基、任选取代的双(三烷基甲硅烷基)氨基、任选取代的三烷基甲硅烷基、氧代、阴离子配体(例如,氧化物、氯化物、氢化物、乙酸盐、亚氨基二乙酸盐、丙酸盐,丁酸酯、苯甲酸酯等)、中性配体或多齿配体。
126.在一些实施方案中,任选取代的氨基是-nr1r2,其中r1和r2中的每一个独立地是h或烷基;或其中r1和r2与各自所连接的氮原子一起形成如本文所定义的杂环基基团。在其他实施方案中,任选取代的双(三烷基甲硅烷基)氨基是-n(sir1r2r3)2,其中每个r1、r2和r3独立地是任选取代的烷基。在另外其他的实施方案中,任选取代的三烷基甲硅烷基是-sir1r2r3,其中每个r1、r2和r3独立地是任选取代的烷基。
127.在其他实施方案中,该式包括第一r(或第一l),其为-nr1r2;和第二r(或第二l),其为-nr1r2,其中r1和r2中的每一个独立地为h或任选取代的烷基;或其中来自第一r(或第一l)的r1和来自第二r(或第二l)的r1与各自连接的氮原子和金属原子一起形成如本文所定义的杂环基基团。在另外其他的实施方案中,该式包括为-or1的第一r和为-or1的第二r,其中每个r1独立地为h或任选取代的烷基;或其中来自第一r的r1和来自第二r的r1与各自连接的氧原子和金属原子一起形成如本文所定义的杂环基基团。
128.在一些实施方案中,r或l(例如,在式(i)、(ii)或(iia)中)中的至少一个是任选取代的烷基。非限制性烷基基团包括例如c
nh2n 1
,其中n为1、2、3或更大,例如甲基、乙基、正丙基、异丙基、正丁基、异丁基、仲丁基或叔丁基。在各种实施方案中,r或l具有至少一个β-氢或β-氟。在其他实施方案中,r或l中的至少一个是卤代烷基(例如氟代烷基)。
129.在一些实施方案中,每个r或l或至少一个r或l(例如,在式(i)、(ii)或(iia)中)是卤素。特别地,前体可以是金属卤化物。非限制性金属卤化物包括snbr4、sncl4、sni4和sbcl3。
130.在一些实施方案中,每个r或l或至少一个r或l(例如,在式(i)、(ii)或(iia)中)可包括氮原子。在特定的实施方案中,一个或多个r或l可以是任选取代的氨基、任选取代的单
烷基氨基(例如-nr1h,其中r1是任选取代的烷基)、任选取代的二烷基氨基(例如-nr1r2,其中r1和r2中的每一个独立地是任选取代的烷基),或任选取代的双(三烷基甲硅烷基)氨基。非限制性的r和l取代基可以包括,例如,-nme2,-nhme,-net2,-nhet,-nmeet,-n(t-bu)-[chch3]
2-n(t-bu)-(tbba),-n(sime3)2和-n(siet3)2。
[0131]
在一些实施方案中,每个r或l或至少一个r或l(例如,在式(i)、(ii)或(iia)中)可包括硅原子。在特定的实施方案中,一个或多个r或l可以是任选取代的三烷基甲硅烷基或任选取代的双(三烷基甲硅烷基)氨基。非限制性的r或l取代基可以包括,例如,-sime3,-siet3,-n(sime3)2和-n(siet3)2。
[0132]
在一些实施方案中,每个r或l或至少一个r或l(例如,在式(i)、(ii)或(iia)中)可包括氧原子。在特定的实施方案中,一个或多个r或l可以是任选取代的烷氧基或任选取代的烷酰氧基。非限制性r或l取代基包括例如甲氧基、乙氧基、异丙氧基(i-pro)、叔丁氧基(t-buo)、乙酸酯(-oc(o)-ch3)和-o=c(ch3)-ch=c(ch3)-o-(acac)。
[0133]
本文的任何式可包括一种或多种中性配体。非限制性中性配体包括任选取代的胺(例如nr3或r2n-ak-nr2,其中每个r可以独立地为h、任选取代的烷基、任选取代的烃基或任选取代的芳基,并且ak是任选取代的亚烷基)、任选取代的膦(例如,pr3或r2p-ak-pr2,其中每个r可以独立地为h、任选取代的烷基、任选取代的烃基或任选取代的芳基,并且ak为任选取代的亚烷基),任选取代的醚(例如,or2,其中每个r可以独立地为h、任选取代的烷基、任选取代的烃基或任选取代的芳基)、任选取代的烷基、任选取代的烯烃、任选取代的炔、任选取代的苯、氧代或一氧化碳。
[0134]
本文的任何式可包括一个或多个多齿(例如,二齿)配体。非限制性多齿配体包括二酮酸盐(例如乙酰丙酮酸盐(acac)或-oc(r1)-ak-(r1)co-or-oc(r1)-c(r2)-(r1)co-)、双齿螯合二氮(例如,-n(r1)-ak-n(r1)-或-n(r3)-cr
4-cr2=n(r1)-)、芳香族(例如-ar-)、脒(例如-n(r1)-c(r2)-n(r1)-)、氨基醇盐(例如-n(r1)-ak-o-or-n(r1)
2-ak-o-)、二氮杂二烯基(例如-n(r1)-c(r2)-c(r2)-n(r1)-)、环戊二烯基、吡唑酯、任选取代的杂环基、任选取代的亚烷基或任选取代的杂亚烷基。在特定的实施方案中,每个r1独立地为h、任选取代的烷基、任选取代的卤代烷基或任选取代的芳基;每个r2独立地为h或任选取代的烷基;r3和r4一起形成任选取代的杂环基;ak是任选取代的亚烷基;并且ar是任选取代的亚芳基。
[0135]
在特定的实施方案中,前体包括锡。在一些实施方案中,锡前体包括snr或snr2或snr4或r3snsnr3,其中每个r独立地为h、卤素、任选取代的c
1-12
烷基、任选取代的c
1-12
烷氧基、任选取代的氨基(例如-nr1r2)、任选取代的c
2-12
烯基、任选取代的c
2-12
炔基、任选取代的c
3-8
环烷基、任选取代的芳基、环戊二烯基、任选取代的双(三烷基甲硅烷基)氨基(例如-n(sir1r2r3)2)、任选取代的烷酰氧基(例如,醋酸盐)、二酮酸盐(例如-oc(r1)-ak-(r2)co-)或双齿螯合二氮(例如-n(r1)-ak-n(r1)-)。在特定的实施方案中,每个r1、r2和r3独立地为h或c
1-12
烷基(例如甲基、乙基、异丙基、叔丁基或新戊基);并且ak是任选取代的c
1-6
亚烷基。在特定的实施方案中,每个r独立地为卤素、任选取代的c
1-12
烷氧基、任选取代的氨基、任选取代的芳基、环戊二烯基或二酮酸酯。非限制性锡前体包括snf2、snh4、snbr4、sncl4、sni4、四甲基锡(snme4)、四乙基锡(snet4)、三甲基氯化锡(snme3cl)、二甲基二氯化锡(snme2cl2)、甲基三氯化锡(snmecl3)、四烯丙基锡、四乙烯基锡、六苯基二锡(iv)(ph3sn-snph3,其中ph为苯基)、二丁基二苯基锡(snbu2ph2)、三甲基(苯基)锡(snme3ph)、三甲基(苯基乙炔基)锡、三环
己基氢化锡、三丁基氢化锡(snbu3h)),二丁基二乙酸锡(snbu2(ch3coo)2)、乙酰丙酮锡(ii)(sn(acac)2)、snbu3(oet)、snbu2(ome)2、snbu3(ome)、sn(t-buo)4、sn(n-bu)(t-buo)3、四(二甲氨基)锡(sn(nme2)4)、四(乙基甲基氨基)锡(sn(nmeet)4)、四(二乙氨基)锡(iv)(sn(net2)4)、(二甲氨基)三甲基锡(iv)(sn(me)3(nme2)、sn(i-pr)(nme2)3、sn(n-bu)(nme2)3、sn(s-bu)(nme2)3、sn(i-bu)(nme2)3、sn(t-bu)(nme2)3、sn(t-bu)2(nme2)2、sn(t-bu)(net2)3、sn(tbba)、sn(ii)(1,3-双(1,1-二甲基乙基)-4,5-二甲基-(4r,5r)-1,3,2-二氮杂锡基-2-亚胺)[(1,3-bis(1,1-dimethylethyl)-4,5-dimethyl-(4r,5r)-1,3,2-diazastannolidin-2-ylidene)],或双[双(三甲基甲硅烷基)氨基]锡(sn[n(sime3)2]2)。
[0136]
在其他实施方案中,前体包括铋,例如在bir3中,其中每个r独立地为卤素、任选取代的c
1-12
烷基、单-c
1-12
烷基氨基(例如-nr1h)、二-c
1-12
烷基氨基(例如-nr1r2)、任选取代的芳基、任选取代的双(三烷基甲硅烷基)氨基(例如-n(sir1r2r3)2)或二酮酸酯(例如-oc(r4)-ak-(r5)co-)。在特定的实施方案中,每个r1、r2和r3独立地为c
1-12
烷基(例如甲基、乙基、异丙基、叔丁基或新戊基);并且每个r4和r5独立地为h或任选取代的c
1-12
烷基(例如,甲基、乙基、异丙基、叔丁基或新戊基)。非限制性铋前体包括bicl3、bime3、biph3、bi(nme2)3、bi[n(sime3)2]3和bi(thd)3,其中thd是2,2,6,6-四甲基-3,5-庚二酸酯。
[0137]
在其他实施方案中,前体包括碲,例如ter2或ter4,其中每个r独立地为卤素、任选取代的c
1-12
烷基(例如甲基、乙基、异丙基、叔丁基和新戊基),任选取代的c
1-12
烷氧基、任选取代的芳基、羟基、氧代或任选取代的三烷基甲硅烷基。非限制性碲前体包括二甲基碲(teme2)、二乙基碲(teet2)、二(正丁基)碲(te(n-bu)2)、二(异丙基)碲(te(i-pr)2)、二(叔丁基)碲(te(t-bu)2)、叔丁基氢化碲(te(t-bu)(h))、te(oet)4、双(三甲基甲硅烷基)碲(te(sime3)2)和双(三乙基甲硅烷基)碲(te(siet3)2)。
[0138]
前体可包括锑,例如在sbr3中,其中每个r独立地为卤素、任选取代的c
1-12
烷基(例如甲基、乙基、异丙基、叔丁基和新戊基)、任选取代的c
1-12
烷氧基,或任选取代的氨基(例如,-nr1r2,其中r1和r2中的每一个独立地为h或任选取代的c
1-12
烷基)。非限制性锑前体包括sbcl3、sb(oet)3、sb(on-bu)3和sb(nme2)3。
[0139]
其他前体包括铟前体,例如在inr3中,其中每个r独立地为卤素、任选取代的c
1-12
烷基(例如,甲基、乙基、异丙基、叔丁基和新戊基)或二酮(例如,-oc(r4)-ak-(r5)co-,其中每个r4和r5独立地是h或c
1-12
烷基)。非限制性铟前体包括incp,其中cp是环戊二烯基、incl3、inme3、in(acac)3、in(cf3cochcoch3)3和in(thd)3。
[0140]
前体可以包括碘,例如ri,其中r是碘(i)或任选取代的c
1-12
烷基,或高碘酸盐。非限制性碘前体包括碘气(i2)、二碘甲烷(ch2i2)和高碘酸盐。
[0141]
本文描述了另外其他的前体和非限制性取代基。例如,前体可以是任何具有如上所述的式(i)、(ii)和(iia)或如下所述的式(iii)、(iv)、(v)、(vi)、(vii)或(viii)的结构的前体。如本文所述的任何取代基m、r、x或l可用于任何式(i)、(ii)、(iia)、(iii)、(iv)、(v)、(vi)、(vii)或(viii)。
[0142]
另外其他的示例性euv敏感材料以及处理方法和设备在美国专利号9,996,004;国际专利公开号wo 2020/102085;和国际专利公开号wo 2019/217749中有描述,其各自通过引用以其整体并入本文。
[0143]
如本文所述,本文的膜、层和方法可以与任何有用的前体一起采用。在一些实例
中,前体包括具有下式(iii)的金属卤化物:mxn(iii),其中m是金属,x是卤素,并且n是2至4,具体取决于m的选择。m的示例性金属包括sn、te、bi或sb。示例性金属卤化物包括snbr4、sncl4、sni4和sbcl3。
[0144]
另一种非限制性前体包括具有式(iv)的结构:mrn(iv),其中m是金属;每个r独立地是h、任选取代的烷基、氨基(例如-nr2,,其中每个r独立地是烷基)、任选取代的双(三烷基甲硅烷基)氨基(例如-n(sir3)2,其中每个r独立地是烷基),或任选取代的三烷基甲硅烷基(例如,-sir3,其中每个r独立地为烷基);或者n为2至4,具体取决于m的选择。m的示例性金属包括sn、te、bi或sb。烷基基团可以是c
nh2n 1
,其中n为1、2、3或更大。示例性有机金属试剂包括snme4、snet4、tern、rter、叔丁基氢化碲(te(t-bu)(h))、二甲基碲(teme2)、二(叔丁基)碲(te(t-bu)2)、二(异丙基)碲(te(i-pr)2)、双(三甲基甲硅烷基)碲(te(sime3)2)、双(三乙基甲硅烷基)碲(te(siet3)2)、三(双(三甲基甲硅烷基)酰胺基)铋(bi[n(sime3)2]3)、sb(nme2)3等。
[0145]
另一种非限制性前体可包括具有下式(v)的封端剂:mln(v),其中m是金属;每个l独立地为任选取代的烷基、氨基(例如,-nr1r2,其中r1和r2中的每一个可以是h或烷基,如本文所述的任何)、烷氧基(例如,-or,其中r是烷基,如本文所述的任何)、卤素或其他有机取代基;并且n为2至4,具体取决于m的选择。m的示例性金属包括sn、te、bi或sb。示例性配体包括二烷基氨基(例如,二甲基氨基、甲基乙基氨基和二乙基氨基)、烷氧基(例如,叔丁氧基和异丙氧基)、卤素(例如,f、cl、br和i)或其他有机取代基(例如,乙酰丙酮或n2,n
3-二-叔丁基-丁烷-2,3-二氨基)。非限制性封端剂包括sncl4;sni4;sn(nr2)4,其中每个r独立地是甲基或乙基;或sn(t-buo)4。在一些实施方案中,存在多种类型的配体。
[0146]
前体可以包括具有下式(vi)的烃基取代的封端剂:rnmxm(vi),其中m是金属,r是c
2-10
烷基或具有β-氢的取代烷基,并且x是与暴露羟基基团的羟基基团反应的合适的离去基团。在各种实施方案中,n=1至3,并且m=4

n、3

n或2

n,只要m》0(或m≥1)即可。例如,r可以是叔丁基、叔戊基、叔己基、环己基、异丙基、异丁基、仲丁基、正丁基、正戊基、正己基或其在β位置中具有杂原子取代基的衍生物。合适的杂原子包括卤素(f、cl、br或i)或氧(-oh或-or)。x可以是二烷基氨基(例如二甲基氨基、甲基乙基氨基或二乙基氨基)、烷氧基(例如,叔丁氧基、异丙氧基)、卤素(例如f、cl、br或i)或另一种有机配体。烃基取代的封端剂的示例包括叔丁基三(二甲氨基)锡(sn(t-bu)(nme2)3)、正丁基三(二甲氨基)锡(sn(n-bu)(nme2)3)、叔丁基三(二乙基氨基)锡(sn(t-bu)(net2)3)、二(叔丁基)二(二甲基氨基)锡(sn(t-bu)2(nme2)2)、仲丁基三(二甲基氨基)锡(sn(s-bu)(nme2)3)、正戊基三(二甲氨基)锡(sn(n-pentyl)(nme2)3)、异丁基三(二甲氨基)锡(sn(i-bu)(nme2)3)、异丙基三(二甲氨基)锡(sn(i-pr)(nme2)3)、叔丁基三(叔丁氧基)锡(sn(t-bu)(t-buo)3)、正丁基(三(叔丁氧基)锡(sn(n-bu)(t-buo)3),或异丙基三(叔丁氧基)锡(sn(i-pr)(t-buo)3)。
[0147]
在各种实施方案中,前体在可以经受住气相反应的每个金属原子上包括至少一个
烷基基团,而与金属原子配位的其他配体或离子可以被逆反应物替代。因此,另一种非限制性前体包括具有式(vii)的有机金属试剂:marblc(vii),其中m是金属;r是任选取代的烷基;l是配体、离子或其他与逆反应物反应的部分;a≥1;b≥1;并且c≥1。在特定的实施方案中,a=1,并且b c=4。在一些实施方案中,m是sn、te、bi或sb。在特定的实施方案中,每个l独立地是氨基(例如-nr1r2,其中r1和r2中的每一个可以是h或烷基,例如本文所述的任一者)、烷氧基(例如,-or,其中r是烷基,例如本文所述的任一者)或卤素(例如f、cl、br或i)。示例性试剂包括snme3cl、snme2cl2、snmecl3、snme(nme2)3、snme2(nme2)2、snme3(nme2)等。
[0148]
在其他实施方案中,非限制性前体包括具有式(viii)的有机金属试剂:malc(viii),其中m是金属;l是配体、离子或其他与逆反应物反应的部分;a≥1;并且c≥1。在特定的实施方案中,c=n-1,并且n为2、3或4。在一些实施方案中,m为sn、te、bi或sb。逆反应物优选具有取代反应性部分配体或离子(例如,本文式中的l)以经由化学键连接至少两个金属原子的能力。
[0149]
在本文的任何实施方案中,r可以是任选取代的烷基(例如,c
1-10
烷基)。在一个实施方案中,烷基被一个或多个卤素取代(例如,卤素取代的c
1-10
烷基,包括一个、两个、三个、四个或更多个卤素,例如f、cl、br或i)。示例性的r取代基包括c
nh2n 1
,优选其中n≥3;和c
nfxh(2n 1-x)
,其中2n 1≤x≤1。在各种实施方案中,r具有至少一个β-氢或β-氟。例如,r可以选自由异丙基、正丙基、叔丁基、异丁基、正丁基、仲丁基、正戊基、异戊基、叔戊基、仲戊基及其混合物组成的组。
[0150]
在本文的任何实施方案中,l可以是容易被逆反应物置换以生成m-oh部分的任何部分,例如选自由氨基(例如-nr1r2,其中r1和r2中的每一个可以是h或烷基,例如本文所述的任一者)、烷氧基(例如-or,其中r是烷基,例如本文所述的任一者)、羧酸盐、卤素(例如f、cl、br或i)及其混合物组成的组。
[0151]
逆反应物优选具有取代反应性部分、配体或离子(例如本文式中的l)以便经由化学结合连接至少两个金属原子的能力。示例性逆反应物包括含氧逆反应物,例如氧(o2)、臭氧(o3)、水、过氧化物(例如过氧化氢)、氧等离子体、水等离子体、醇类、二羟基醇、多羟基醇、氟化二羟基醇、氟化多羟基醇、氟化二醇、甲酸和其他羟基部分来源及其组合。在各种实施方案中,逆反应物通过在相邻金属原子之间形成氧桥而与前体反应。其他潜在的逆反应物包括硫化氢和二硫化氢,其可以经由硫桥和双(三甲基甲硅烷基)碲交联金属原子,其可以经由碲桥交联金属原子。此外,碘化氢可用于将碘结合到膜中。
[0152]
另外其他的非限制性逆反应物包括具有式zr2的硫族属化物前体,其中:z是硫、硒或碲;并且每个r独立地是h、任选取代的烷基(例如甲基、乙基、正丙基、异丙基、正丁基、叔丁基等)、任选取代的烯基、任选取代的芳基、任选取代的氨基、任选取代的烷氧基,或任选取代的三烷基甲硅烷基。
[0153]
示例性有机金属试剂包括snmecl3、(n2,n
3-二-叔丁基-丁烷-2,3-二氨基)锡(ii)(sn(tbba))、双(双(三甲基甲硅烷基)酰胺基)锡(ii))、四(二甲氨基)锡(iv)(sn(nme2)4)、叔丁基三(二甲氨基)锡(sn(叔丁基)(nme2)3)、异丁基三(二甲氨基)锡(sn(i-bu)(nme2)3)、
正丁基三(二甲氨基)锡(sn(n-bu)(nme2)3)、仲丁基三(二甲氨基)锡(sn(s-bu)(nme2)3)、异丙基(三)二甲基氨基锡(sn(i-pr)(nme2)3)、正丙基三(二乙基氨基)锡(sn(n-pr)(net2)3)和类似的烷基(三)(叔丁氧基)锡化合物,例如叔丁基三(叔丁氧基)锡(sn(t-bu)(t-buo)3)。在一些实施方案中,有机金属试剂是部分氟化的。光刻处理
[0154]
euv光刻术利用euv抗蚀剂,其可以是通过基于液体的旋涂技术生产的基于聚合物的化学放大型抗蚀剂或通过干法气相沉积技术生产的基于金属氧化物的抗蚀剂。这种euv抗蚀剂可包括本文所述的任何euv敏感膜或材料。光刻方法可包括图案化抗蚀剂,例如通过用euv辐射对euv抗蚀剂进行暴露以形成光图案,随后通过根据光图案去除抗蚀剂的一部分以形成掩模来显影图案进行该图案化。
[0155]
还应当理解,虽然本公开涉及光刻图案化技术和以euv光刻术为例的材料,但它也适用于其他下一代光刻技术。除了包括目前正在使用和开发的标准13.5nm euv波长的euv以外,与这种光刻术最相关的辐射源是duv(深紫外),其一般指使用248nm或193nm准分子激光源;x射线,其正式包括x射线范围的较低能量范围内的euv;以及电子束,其可以覆盖很宽的能量范围。这种方法包括其中衬底(例如,任选地具有暴露的羟基基团的衬底)与前体(例如,本文所述的任何前体)接触以形成金属氧化物(例如,包括金属氧化物键网络的层,其可以包括其他非金属和非氧基团)膜作为衬底表面上的成像/pr层的那些方法。具体方法可能取决于半导体衬底和最终半导体器件中使用的特定材料和应用。因此,本技术中描述的方法仅仅是可用于本技术的方法和材料的示例。在一些实施方案中,光刻术包括使用具有在10nm和400nm之间的波长的辐射源。
[0156]
可直接光图案化的euv抗蚀剂可由金属和/或金属氧化物组成或包含金属和/或金属氧化物。金属/金属氧化物非常有前途,因为它们可以增强euv光子吸收度并生成二次电子和/或显示出对下面的膜堆叠和器件层的蚀刻选择性增加。迄今为止,这些抗蚀剂是使用湿(溶剂)方法显影的,这需要晶片移动到轨道,在那里抗蚀剂暴露于显影溶剂、干燥和烘烤。湿显影不仅会限制生产率,而且由于在精细特征之间的溶剂蒸发期间的表面张力效应,还可能导致线塌陷。
[0157]
已经提出干式显影技术以通过消除衬底分层和界面失效来克服这些问题。干式显影有其自身的挑战,包括未暴露和euv暴露的抗蚀剂材料之间的蚀刻选择性,这可能导致与湿显影相比,对有效抗蚀剂暴露的剂量尺寸比要求更高。由于在蚀刻气体下暴露时间较长,次优选择性也会导致pr角变圆,这可能增加后续传送蚀刻步骤中的线cd变化。在光刻期间采用的附加处理在下面进行详细描述。沉积处理,包括干式沉积
[0158]
如上所讨论的,本公开提供在半导体衬底上制造吸收层及成像层的方法,其可使用euv或其他下一代光刻技术来图案化。方法包括以蒸气产生聚合的有机金属材料并将其沉积在衬底上的那些方法。在一些实施方案中,干式沉积可采用任何有用的前体(例如,本文所述的金属卤化物、封端剂或有机金属剂)。在其他实施方案中,可使用旋涂制剂。沉积处理可包括涂布euv敏感材料作为抗蚀剂膜或euv敏感膜。
[0159]
这种euv敏感膜包含在暴露于euv时发生变化的材料,例如在低密度的富含m-oh的材料中与金属原子键合的庞大悬垂配体的损失,从而允许它们交联成更致密的m-o-m键合
的金属氧化物材料。在其他实施方案中,euv暴露导致与金属原子键合的配体之间的进一步交联,从而提供更致密的m-l-m键合的有机金属材料,其中l是配体。在另外其他的实施方案中,euv暴露导致配体损失以提供可被正性显影剂去除的m-oh材料。
[0160]
通过euv图案化,产生相对于未暴露区域具有改变的物理或化学特性的膜区域。这些特性可以在后续处理中加以利用,例如溶解未暴露或暴露的区域,或者在暴露或未暴露的区域上选择性地沉积材料。在一些实施方案中,在进行这种后续处理的条件下,未暴露的膜具有疏水表面,并且暴露的膜具有亲水表面(应认识到暴露区域和未暴露区域的亲水特性彼此相关)。例如,材料的去除可以通过平衡膜的化学组成、密度和交联的差异来进行。如本文进一步描述的那样,去除可以通过湿法处理或干法处理进行。
[0161]
形成在衬底表面上的可euv图案化膜的厚度可根据表面特性、使用的材料和处理条件而变化。在各种实施方案中,膜厚度可以在约0.5nm至约100nm的范围内。优选地,该膜具有足够的厚度以在euv图案化的条件下吸收大部分euv光。例如,抗蚀剂膜的总吸收度可以是30%或更少(例如,10%或更少,或5%或更少),使得抗蚀剂膜底部的抗蚀剂材料被充分暴露。在一些实施方案中,膜厚度为10nm至20nm。在不限制本公开内容的机制、功能或效用的情况下,据信,与本领域的湿旋涂处理不同,本公开的处理对衬底的表面粘附性能具有较少限制,因此可应用于多种衬底。此外,如上文所讨论,沉积膜可与表面特征紧密贴合,从而在无需“填充”或以其他方式平坦化这种特征的情况下,在诸如具有下方特征的衬底之类的衬底上方形成掩模方面提供优势。
[0162]
膜(例如,吸收层和/或成像层)可由以任何有用方式沉积的金属氧化物层组成。可以通过使用本文所述的任何euv敏感材料,例如前体(例如,含金属的前体、金属卤化物、封端剂或有机金属剂)与逆反应物组合来沉积或施加这种金属氧化物层。在示例性处理中,聚合的有机金属材料以气相或原位形成在衬底的表面上以提供金属氧化物层。金属氧化物层可用作膜、粘附层或封端层。
[0163]
任选地,金属氧化物层可以包括羟基封端的金属氧化物层,其可以通过采用封端剂(例如,本文所述的任何一种)与含氧的逆反应物来沉积。这种羟基封端的金属氧化物层可以用作例如两个其他层之间(例如衬底和膜之间和/或光致抗蚀剂层和底层之间)的粘合层。
[0164]
示例性沉积技术(例如,用于膜的沉积技术)包括本文所述的任何技术,例如ald(例如,热ald和等离子体增强ald)、旋涂沉积、pvd(包括pvd共溅射)、cvd(例如pe-cvd或lp-cvd)、溅射沉积、包括电子束共蒸发的电子束沉积等,或其组合,例如cvd组分与ald的组合,例如不连续的类似ald的过程,其中前体和逆反应物在时间或空间上分离。
[0165]
适用于本公开的前体以及将其沉积为euv光致抗蚀剂膜的方法的进一步描述可见于2019年5月9日提交并且标题为methods for making euv patternable hard masks的国际申请号pct/us19/31618(以国际公开no.wo2019/217749公开)中。除了前体和逆反应物之外,薄膜还可以包括任选的材料以改变膜的化学或物理性质,例如改变膜对euv的敏感性或增强抗蚀刻性。可以在沉积在衬底上之前、在沉积膜之后或在沉积在衬底上之前且沉积膜之后,在气相形成期间例如通过掺杂来引入这种任选的材料。在一些实施方案中,可以引入温和的远程h2等离子体以便例如用sn-h取代一些sn-l键,这可以增加抗蚀剂在euv下的反应性。
[0166]
一般而言,方法可包括将前体(例如,含金属的前体,例如有机金属试剂)的蒸汽流与逆反应物的任选蒸汽流混合以便形成聚合的有机金属材料,以及将有机金属材料沉积到半导体衬底的表面上。在一些实施方案中,将前体和任选的逆反应物混合可形成聚合的有机金属材料。如本领域普通技术人员将理解的,处理的混合和沉积方面可以在基本上连续的过程中同时进行。
[0167]
在示例性的连续cvd处理中,将前体和任选的逆反应物源的在分开的入口路径中的两个或多个气流引入cvd设备的沉积室,在那里它们在气相中混合和反应,以在衬底上形成(例如,经由金属-氧-金属键形成)附聚的聚合物材料或膜。例如,可以使用分开的注入入口或双增压喷头引入气流。该设备被配置为使得前体和任选的逆反应物流在室中混合,从而允许前体和任选的逆反应物反应以形成聚合的有机金属材料或膜(例如,金属氧化物涂层或附聚的聚合物材料,例如经由金属-氧-金属键形成)。
[0168]
为了沉积金属氧化物,cvd处理通常在例如0.1托到10托的减压下进行。在一些实施方案中,处理在1托至2托的压强下进行。衬底的温度优选低于反应物流的温度。例如,衬底温度可以是从0℃到250℃,或者从环境温度(例如,23℃)到150℃。
[0169]
为了沉积附聚的聚合物材料,cvd处理通常在减压(例如10毫托到10托)下进行。在一些实施方案中,该处理在0.5托至2托下进行。衬底的温度优选等于或低于反应物流的温度。例如,衬底温度可以是0℃到250℃,或者从环境温度(例如,23℃)到150℃。在各种处理中,聚合的有机金属材料在衬底上的沉积以与表面温度成反比的速率发生。在不限制本技术的机理、功能或效用的情况下,据信来自这种气相反应的产物的分子量随着金属原子被逆反应物交联而变得更重,然后缩合或以其他方式沉积到衬底上。在各种实施方案中,庞大烷基基团的空间位阻进一步防止形成密集堆积的网络并产生具有增加的孔隙率的低密度膜。
[0170]
使用干法沉积方法的潜在优点是随着膜的生长易于调整膜的组成。在cvd处理中,这可以通过在沉积期间改变第一前体和第二前体的相对流量来实现。沉积可以在30℃和200℃之间在0.01托到100托之间但更通常在约0.1托到10托之间的压强下发生。
[0171]
膜(例如,金属氧化物涂层或附聚的聚合材料,例如经由金属-氧-金属键形成)也可以通过ald处理沉积。例如,前体和任选的逆反应物在不同的时间引入,从而表示一个ald循环。前体在表面反应,针对每个循环一次形成单层材料。这可以允许对跨表面的膜厚度均匀性的极好控制。ald处理通常在减压(例如0.1托到10托)下进行。在一些实施方案中,该处理在1托至2托下进行。衬底温度可为0℃至250℃,或环境温度(例如23℃)至150℃。该处理可以是热过程,或者优选为等离子体辅助沉积。
[0172]
本文的任何沉积方法可以经修改以允许使用两种或更多种不同的前体。在一个实施方案中,前体可以包括相同的金属但包括不同的配体。在另一个实施方案中,前体可以包括不同的金属基团。在一个非限制性实例中,各种挥发性前体的交替流动可以提供混合的含金属层,例如使用具有第一金属(例如,sn)的金属醇盐前体和具有不同第二金属(例如,te)的基于甲硅烷基的前体。
[0173]
本文的方法可用于实现表面改性。在一些迭代中,前体的蒸汽可以通过晶片。可以加热晶片以为反应进行提供热能。在一些迭代中,加热可以在约50℃至约250℃之间。在一些情况下,可以使用前体脉冲,通过泵和/或清扫步骤分开。例如,第一前体可在第二前体脉
冲的脉冲之间进行脉冲,从而导致ald或类ald生长。在其他情况下,两种前体可以同时流动。可用于表面改性的元素的示例包括i、f、sn、bi、sb、te和这些化合物的氧化物或合金。
[0174]
本文的处理可用于通过ald或cvd沉积薄金属氧化物或金属。示例包括氧化锡(snox)、氧化铋(biox)和te。如本文别处所述的那样,在沉积之后可以用形式为marblc的烷基取代的前体将膜封端。可以使用逆反应物来更好地去除配体,并且可以重复多个循环以确保衬底表面的完全饱和。然后该表面可以为要沉积的euv敏感膜做好准备。一种可能的方法是生产snox的薄膜。可能的化学过程包括通过循环四(二甲氨基)锡和逆反应物(如水或o2等离子体)来生长sno2。生长后,可以使用封端剂。例如,异丙基三(二甲氨基)锡蒸汽可以流过表面。
[0175]
可以在任何有用的表面上采用沉积处理。如本文所指,“表面”是本技术的膜将被沉积到其上或将在处理期间暴露于euv的表面。这种表面可以存在于衬底上(例如,将在其上沉积膜)、膜上(例如,可以在其上沉积封端层)、或下层上。
[0176]
可以采用任何有用的衬底,包括适用于光刻处理的任何材料构造,特别是适用于集成电路和其他半导体器件的生产。在一些实施方案中,衬底是硅晶片。衬底可以是具有不规则表面形貌的硅晶片,其上已经形成了特征(“底层形貌特征”)。
[0177]
这种底层形貌特征可以包括在进行该技术的方法之前在处理期间其中已经去除(例如,通过蚀刻去除)材料的区域或其中已经添加(例如,通过沉积添加)材料的区域。这种在先处理可包括该技术的方法或迭代处理(通过该迭代处理在衬底上形成两个或更多个特征层)中的其他处理方法。在不限制本技术的机制、功能或效用的情况下,据信在一些实施方案中,本技术的方法提供相对于本领域已知的使用旋转浇铸方法将光刻膜沉积在衬底表面上的方法的优势。这种优势可源自本技术的膜与下层特征的一致性而无需“填充”或以其他方式平坦化这种特征,以及在多种材料表面上沉积膜的能力。
[0178]
在一些实施方案中,衬底是硬掩模,其用于底层半导体材料的光刻蚀刻。硬掩模可以包括多种材料中的任一种,包括无定形碳(a-c)、氧化锡(例如sno
x
)、氧化硅(例如sio
x
,包括sio2)、氧氮化硅(例如sio
x
ny)、氧碳化硅(例如,sio
x
c)、氮化硅(例如,si3n4)、氧化钛(例如,tio2)、氮化钛(例如,tin)、钨(例如,w)、掺杂碳(例如,w掺杂的c)、氧化钨(例如,wo
x
)、氧化铪(例如hfo2)、氧化锆(例如zro2)和氧化铝(例如al2o3)。例如,衬底可以优选地包括sno
x
,例如sno2。在各种实施方案中,该层可以为1nm至100nm厚,或2nm至10nm厚。
[0179]
在一些非限制性实施方案中,衬底包括底层。底层可沉积于硬掩模或其他层上且一般在成像层(或膜)下方,如本文所述。底层可用于改善pr的敏感性、提高euv吸收率、和/或提高pr的图案化性能。在待图案化的衬底上存在产生显著形貌的装置特征的示例中,底层的另一重要功能可以是对现有形貌进行涂覆及平坦化,以便可以在具有所关注的图案的所有区域的平坦表面上执行后续图案化步骤。对于这种应用,底层(或多个底层中的至少一者)可使用旋涂技术来涂布。当所采用的光致抗蚀剂材料具有显著的无机成分时,例如其表现出主要的金属氧化物骨架,则底层可有利地为基于碳的膜,其可通过旋涂或通过基于干式真空的沉积处理来涂布。该层可包括具有基于碳及氢的组成的多种可灰化硬掩模(ahm)膜,并可掺杂有例如钨、硼、氮或氟之类的额外元素。
[0180]
在各种实施方案中,表面(例如,衬底和/或膜的表面)在其表面上包含暴露的羟基。通常,该表面可以是任何包含或已经被处理以产生暴露的羟基表面的表面。通过使用氧
等离子体、水等离子体或臭氧对衬底进行表面处理,可以在表面上形成这种羟基基团。在其他实施方案中,膜的表面可以被处理以提供暴露的羟基基团,在其上可以施加封端层。在各种实施方案中,羟基封端的金属氧化物层具有0.1nm至20nm,或0.2nm至10nm,或0.5nm至5nm的厚度。euv暴露处理
[0181]
膜的euv暴露可以提供具有包括金属原子(m)的活化反应中心的euv暴露区域,其由euv介导的裂解事件产生。这种反应中心可包括悬空金属键、m-h基团、裂解的m-配体基团、二聚m-m键或m-o-m桥。
[0182]
euv暴露在真空环境中可具有在约10nm至约20nm范围内的波长,例如10nm至15nm,例如13.5nm的波长。特别地,图案化可以提供euv暴露区域和euv未暴露区域以形成图案。
[0183]
本技术可包括使用euv以及duv或电子束的图案化。在这种图案化中,辐射聚焦在成像层的一个或多个区域上。典型地进行暴露使得成像层膜包括一个或多个未暴露于辐射的区域。所得成像层可以包括多个暴露和未暴露区域,从而产生与半导体器件的晶体管或其他特征的产生一致的图案,其通过在衬底的后续处理中从衬底添加或去除材料而形成。此处有用的euv、duv和电子束辐射方法和设备包括本领域已知的方法和设备。
[0184]
在一些euv光刻技术中,有机硬掩模(例如,pecvd无定形氢化碳的可灰化硬掩模)使用常规光致抗蚀剂处理进行图案化。在光致抗蚀剂暴露期间,euv辐射被吸收在光致抗蚀剂和下面的衬底中,从而产生高能光电子(例如,约100ev),进而产生横向扩散几个纳米的一连串低能二次电子(例如,约10ev)。这些电子增加了抗蚀剂中化学反应的程度,这增加了其euv剂量敏感性。然而,本质上随机的二次电子图案叠加在光学图像上。这种不需要的二次电子暴露导致图案化抗蚀剂中的分辨率的损失、可观察到的线边缘粗糙度(ler)和线宽变化。在随后的图案传送蚀刻期间,这些缺陷在要图案化的材料中复制。
[0185]
本文公开了真空集成金属硬掩模处理和相关真空集成硬件,其将膜形成(沉积/冷凝)和光学光刻术组合,结果极大地改进了euv光刻(euvl)性能——例如降低的线边缘粗糙度。
[0186]
在本文所述的各种实施方案中,可使用沉积(例如,冷凝)处理(例如,在pecvd工具,例如lam中进行的ald或mocvd)来形成含金属的膜(例如光敏金属盐)或含金属的有机化合物(有机金属化合物)的薄膜,其在euv中具有强吸收度(例如,在10nm至20nm量级的波长处),例如在euvl光源的波长下(例如,13.5nm=91.8ev)。该膜在euv暴露时发生光分解并在(例如,在导体蚀刻工具,如lam中进行)后续蚀刻期间形成作为图案转移层的金属掩模。
[0187]
在沉积之后,通过暴露于euv光束,通常在相对高的真空下,使可euv图案化薄膜图案化。对于euv暴露,然后可以将含金属的膜沉积在与光刻平台(例如,晶片步进机,例如由荷兰veldhoven的asml提供的twinscan nxe:平台)集成的室中,并在真空下传送,以便在暴露前不要反应。由于环境气体(如h2o、o2等)对入射光子的强光吸收,euvl还需要大大降低的压力,这个事实促进了与光刻工具的集成。在其他实施方案中,光敏金属膜沉积和euv暴露可以在同一个室内进行。显影(包括干式显影)处理
[0188]
euv暴露或未暴露区域可以通过任何有用的显影过程去除。在一个实施方案中,euv暴露区域可具有活化的反应中心,例如悬空金属键、m-h基团或二聚化m-m键。在特定的实施方案中,m-h基团可通过采用一种或多种干式显影处理(例如卤化物化学过程)选择性地去除。在其他实施方案中,m-m键可通过采用湿显影处理(例如使用热乙醇和水以提供可溶性m(oh)n基团)选择性去除。在另外其他的实施方案中,通过使用湿显影(例如,通过使用正性显影剂)去除euv暴露区域。在一些实施方案中,通过使用干式显影去除euv未暴露区域。
[0189]
干式显影处理可以包括使用卤化物,例如基于hcl或hbr的处理。虽然本公开不限于任何特定的理论或操作机制,但该方法被理解为用清洁化学物质(例如,hcl、hbr和bcl3)平衡干法沉积的euv光致抗蚀剂膜的化学反应性以使用蒸汽或等离子体形成挥发性产物。可以以高达1nm/s的蚀刻速率去除干法沉积的euv光致抗蚀剂膜。通过这些化学物质快速去除干法沉积的euv光致抗蚀剂膜适用于室清洁、背面清洁、斜面清洁和pr显影。尽管可以使用处于不同温度的蒸汽(例如,处于高于-10温度的hcl或hbr,或例如处于高于80的温度的bcl3)去除膜,但也可以使用等离子体来进一步加速或增强反应性。
[0190]
等离子体处理包括变压器耦合等离子体(tcp)、电感耦合等离子体(icp)或电容耦合等离子体(ccp),采用本领域已知的那些设备和技术。例如,处理可以在》0.5毫托(例如,例如1毫托到100毫托)的压强下,在《1000w(例如,《500w)的功率水平下进行。温度可为30至300(例如,30至120),流速为每分钟100至1000标准立方厘米(sccm),例如约500sccm,持续1至3000秒(例如,10秒到600秒)。
[0191]
在卤化物反应物流为氢气和卤化物气体的情况下,使用远程等离子体/uv辐射从h2和cl2和/或br2生成自由基,并且氢气和卤化物自由基流入反应室以接触晶片的衬底层上的图案化euv光致抗蚀剂。在没有偏置的情况下,合适的等离子体功率范围可以从100w到500w。应当理解,虽然这些条件适用于一些处理反应器,例如,可从加利福尼亚州弗里蒙特的lam research corporation获得的kiyo蚀刻工具,但根据处理反应器的能力可以使用更广泛的处理条件。
[0192]
在热显影处理中,在真空室(例如,烘箱)中将衬底暴露于干式显影化学物质(例如,路易斯酸)。合适的室可以包括真空管线、干式显影卤化氢化学气体(例如,hbr、hcl)管线和用于温度控制的加热器。在一些实施方案中,室内部可以涂覆有耐腐蚀膜,例如有机聚合物或无机涂层。一种这样的涂层是聚四氟乙烯((ptfe),例如teflon
tm
)。这种材料可用于本公开的热处理中而没有被等离子体暴露去除的风险。
[0193]
用于干式显影的处理条件可以是反应物流量为100sccm至500sccm(例如500sccm的hbr或hcl),温度为-10至120(例如-10)、压强为1毫托至500毫托(例如,300毫托)且无等离子体且持续约10秒至1分钟的时间,具体取决于光致抗蚀剂膜及其组成和性质。
[0194]
在各种实施方案中,本公开的方法组合了膜沉积、通过气相沉积形成、(euv)光刻光图案化和干式显影的所有干式处理步骤。在这种过程中,衬底可以在euv扫描仪中进行光图案化之后直接进入干式显影/蚀刻室。这种过程可以避免与湿显影相关的材料和生产力成本。干式处理还可以提供更多的可调性,并提供进一步的cd控制和/或浮渣去除。
[0195]
在各种实施方案中,包含一定量的金属、金属氧化物和有机组分的euv光致抗蚀剂可以通过热、等离子体(例如,可能包括光活化等离子体,例如灯加热或uv灯加热)或热和等
离子体方法的组合,同时使包括式r
x
zy(其中r=b、al、si、c、s、so,其中x》0且z=cl、h、br、f、ch4和y》0)化合物的干燥显影气体流动进行干式显影。干式显影可产生正性,其中r
x
zy物质选择性地去除暴露的材料,从而留下未暴露的对应物作为掩模。在一些实施方案中,根据本公开通过干式显影去除有机锡氧化物基光致抗蚀剂膜的暴露部分。正性干式显影可以通过暴露于包含卤化氢或氢和卤化物(包括hcl和/或hbr而不撞击等离子体)的流,或h2和cl2和/或br2的流(其具有远程等离子体或从等离子体生成的uv辐射以生成自由基)的euv暴露区域的选择性干式显影(去除)来实现。
[0196]
也可以采用湿显影方法。在特定的实施方案中,这种湿显影方法用于去除euv暴露区域以提供正性光致抗蚀剂或负性光致抗蚀剂。示例性的非限制性的湿显影可包括使用碱性显影剂(例如,水性碱性显影剂),例如包括以下的那些显影剂:铵,例如氢氧化铵(nh4oh);铵基离子液体,例如四甲基氢氧化铵(tmah)、四乙基氢氧化铵(teah)、四丙基氢氧化铵(tpah)、四丁基氢氧化铵(tbah)或其他季烷基氢氧化铵;有机胺,例如单-、二-和三-有机胺(例如,二甲胺、二乙胺、乙二胺、三亚乙基四胺);或链烷醇胺,例如单乙醇胺、二乙醇胺、三乙醇胺或二甘醇胺。在其他实施方案中,碱性显影剂可包括含氮碱,例如具有式r
n1
nh2,r
n1rn2
nh,r
n1rn2rn3
n,或r
n1rn2rn3rn4n
x
n1-的化合物,其中r
n1
,r
n2
,r
n3
和r
n4
中的每一个独立地是一种有机取代基(例如,任选取代的烷基或本文所述的任何有机取代基),或者两种或更多种可以连接在一起的有机取代基,并且x
n1-可以包括oh-,f-,cl-,br-,i-或其他本领域已知的季铵盐铵阳离子种类。这些碱还可以包含本领域已知的杂环基氮化合物,其中一些在本文中有描述。
[0197]
其他显影方法可以包括使用酸性显影剂(例如,水性酸性显影剂或有机溶剂中的酸性显影剂),其包括卤化物(例如,hcl或hbr)、有机酸(例如,甲酸、乙酸或柠檬酸)或有机氟化合物(例如三氟乙酸);或使用有机显影剂,例如酮(例如,2-庚酮、环己酮或丙酮)、酯(例如,γ-丁内酯或3-乙氧基丙酸乙酯(eep))、醇(例如,异丙醇(ipa)),或醚,例如乙二醇醚(例如丙二醇甲基醚(pgme)或丙二醇甲基醚乙酸酯(pgmea)),及其组合。
[0198]
在特定的实施方案中,正性显影剂是水性碱性显影剂(例如,包括nh4oh、tmah、teah、tpah或tbah)。在其他实施方案中,负性显影剂是水性酸性显影剂、有机溶剂中的酸性显影剂或有机显影剂(例如,hcl、hbr、甲酸、三氟乙酸、2-庚酮、ipa、pgme、pgmea或其组合)。涂布后处理
[0199]
本文的方法可以包括任何有用的施加后过程,如下所述。
[0200]
对于背面和斜面清洁处理,蒸汽和/或等离子体可以限制在晶片的特定区域以确保仅去除背面和斜面,而不会在晶片的正面出现任何膜退化。被去除的干法沉积euv光致抗蚀剂膜通常由sn、o和c组成,但相同的清洁方法可以扩展到其他金属氧化物抗蚀剂和材料的膜。此外,这种方法还可以用于膜剥离和pr返工。
[0201]
用于干法斜面边缘和背面清洁的合适处理条件可以是反应物流量为100sccm至500sccm(例如,500sccm hcl、hbr,或h2和cl2或br2、bcl3或h2),温度为-10至120(例如,20),压强为20毫托至500毫托r(例如,300毫托),在高频(例如,13.56mhz)下等离子体功率为0至500w,并且持续时间为约10秒到20秒,具体取决于光致抗蚀剂膜以及组成和特性。应当理解,虽然这些条件适用于一些处理反应器,例如,可从加利福尼亚州弗里蒙特的lam research corporation获得的kiyo蚀刻工具,但根据处理反应器的能力可以使用更广泛的
处理条件。
[0202]
光刻处理通常涉及一个或多个烘烤步骤,以促进在光致抗蚀剂的暴露和未暴露区域之间产生化学对比度所需的化学反应。对于大批量制造(hvm),这种烘烤步骤通常在轨道上执行,其中晶片在环境空气或在某些情况下在n2流中以预设温度在热板上烘烤。在这些烘烤步骤期间更仔细地控制烘烤环境并在环境中引入额外的反应性气体组分可以帮助进一步降低剂量要求和/或改进图案保真度。
[0203]
根据本公开的各个方面,在沉积(例如,施加后烘烤(pab))和/或暴露(例如,暴露后烘烤(peb))和/或显影(例如,显影后烘烤(pdb))之后对基于金属和/或金属氧化物的光致抗蚀剂的一种或多种后处理能够增加暴露和未暴露光致抗蚀剂之间的材料特性差异,并因此在随后的干式显影后降低剂量尺寸比(dts)、改进pr轮廓并改进线边缘和宽度粗糙度(ler/lwr)。这种处理可能涉及控制温度、气体环境和水分的热处理,从而在随后的处理中改进干式显影性能。在某些实例中,可能使用远程等离子体。
[0204]
在施加后处理(例如,pab)的情况下,控制温度、气体环境(例如,空气、h2o、co2、co、o2、o3、ch4、ch3oh、n2、h2、nh3、n2o、no、ar、he或它们的混合物)或真空下,以及水分的热处理可以在沉积之后和暴露之前使用来改变未暴露的金属和/或金属氧化物光致抗蚀剂的组成。这种改变可以增加材料的euv敏感性并因此可以在暴露和干式显影后实现较低的剂量尺寸比和边缘粗糙度。
[0205]
在暴露后处理(例如,peb)的情况下,控制温度、气体气氛(例如,空气、h2o、co2、co、o2、o3、ch4、ch3oh、n2、h2、nh3、n2o、no、ar、he或它们的混合物)或真空下,以及水分的热处理可用于改变未暴露和暴露的光致抗蚀剂的组成。该改变可以增加未暴露和暴露光致抗蚀剂之间的组成/材料特性差异以及未暴露和暴露光致抗蚀剂之间的干式显影蚀刻气体的蚀刻速率差异。由此可以实现更高的蚀刻选择性。由于改进的选择性,可以获得具有改进的表面粗糙度和/或更少的光致抗蚀剂残留物/浮渣的较方形pr轮廓。在特定的实施方案中,peb可以在空气中并且在任选的水分和co2存在下进行。
[0206]
在显影后处理(例如,显影后烘烤或pdb)的情况下,控制温度、气体气氛(例如,空气、h2o、co2、co、o2、o3、ch4、ch3oh、n2、h2、nh3、n2o、no、ar、he或它们的混合物)或真空下(例如,使用uv),以及水分的热处理可用于改变未暴露光致抗蚀剂的组成。在特定的实施方案中,条件还包括使用等离子体(例如,包括o2、o3、ar、he或它们的混合物)。该改变可以增加材料的硬度,这在蚀刻下面的衬底将膜用作抗蚀剂掩模时将是有益的。
[0207]
在这些情况下,在替代实施方式中,热处理可以由远程等离子体处理代替以增加反应性物质以降低反应的能垒并提高生产率。远程等离子体可以生成更多的反应性自由基并因此降低处理的反应温度/时间,从而提高生产率。
[0208]
因此,可以应用一种或多种处理来改性光致抗蚀剂本身以增加干式显影选择性。这种热或自由基改性可以增加未暴露和暴露材料之间的对比度,从而增加后续干式显影步骤的选择性。可以通过调整处理条件(包括温度、气流、水分、压力和/或rf功率)来调整未暴露和暴露材料的材料特性之间的所得差异。由干式显影实现的大处理范围(其不受材料在湿显影剂溶剂中的溶解度的限制)允许应用更具侵袭性的条件,从而进一步增强可实现的材料对比度。由此产生的高材料对比度反过来为干式显影提供了更宽的处理窗口,从而实现了提高的生产率、较低的成本和较好的缺陷性能。
[0209]
湿显影抗蚀剂膜的主要限制是温度烘烤受限。由于湿显影依赖于材料的溶解性,例如加热至或超过220℃会大大增加含金属pr膜的暴露和未暴露区域的交联度,从而使两者在湿显影溶剂中变得不溶,使得膜不再能可靠地进行湿显影。对于干式显影抗蚀剂膜(其中依赖于pr的暴露和未暴露区域之间的蚀刻速率差异(即选择性)来仅去除抗蚀剂的暴露或未暴露部分),pab、peb或pdb中的处理温度可以在更宽的窗口内变化以调整和优化处理过程,例如针对pab从约90℃到250℃,例如90℃到190℃,以及针对peb和/或pdb,约170℃到250℃或更高,例如190℃至240℃。已经发现,在所述范围内的较高处理温度下发生降低的蚀刻速率和增大的蚀刻选择性。
[0210]
在特定的实施方案中,pab、peb和/或pdb处理可以在以下条件下进行:气体环境流量在100sccm至10000sccm的范围内,水分含量为几个百分比至100%(例如,20%-50%)的量,压力在大气压和真空之间,持续时间为约1至15分钟(例如约2分钟)。
[0211]
这些发现可用于调整处理条件以针对特定材料和情况调整或优化处理。例如,以给定的euv剂量在湿度为约20%的空气中进行约2分钟的220℃至250℃ peb热处理而所获得的选择性可能类似于以高约30%的euv剂量在不进行这种热处理的情况下的选择性。因此,根据半导体处理操作的选择性要求/约束,可以使用诸如本文所述的热处理来降低所需的euv剂量。或者,如果需要更高的选择性并且可以耐受更高的剂量,则可以获得比湿显影情况下可能的选择性高得多的选择性(暴露对比未暴露,至多100倍)。
[0212]
另外其他的步骤可以包括原位计量,其中可以在光刻处理期间评估物理和结构特性(例如,关键尺寸、膜厚度等)。实施原位计量的模块包括例如散射测量法、椭偏测量法、下游质谱和/或等离子体增强下游光发射光谱模块。设备
[0213]
本公开还包括配置成执行本文描述的任何方法的任何设备。在一个实施方案中,用于沉积膜的设备包括沉积模块,其包括用于沉积一种或多种前体以提供成像层和/或辐射吸收层的室;图案化模块,其包括具有低于30nm波长辐射源的euv光刻工具;以及显影模块,其包括用于显影包括这些层的膜的室。
[0214]
该设备还可包括具有用于这些模块的指令的控制器。在一个实施方案中,控制器包括一个或多个存储器装置、一个或多个处理器和以用于进行膜沉积的指令编码的系统控制软件。这种方法可以包括在沉积模块中沉积一种或多种前体以提供成像层和/或辐射吸收层;在图案化模块中,直接通过euv暴露以低于30nm分辨率对层进行图案化,由此在膜内形成图案;以及在显影模块中将膜显影。在特定的实施方案中,显影模块提供去除euv暴露或euv未暴露区域,由此在膜内提供图案。
[0215]
图9描绘了处理站900的实施方案的示意图,处理站900具有处理室主体902,其用于维持适合进行本文所述的干式沉积和显影实施方案的低压环境。多个处理站900可包含在共同的低压处理工具环境中。例如,图10描绘了多站式处理工具1000的实施方案,例如可购自lam research corporation(fremont,ca)的处理工具。在一些实施方案中,处理站900的一或更多硬件参数(包含以下所详细讨论的那些)可通过一或更多计算机控制器950而以编程方式调整。
[0216]
处理站可配置为集群工具中的模块。图12描绘了具有真空整合式沉积以及图案化模块的半导体处理集群工具架构,其适用于进行本文所述的实施方案的实施。这样的集群
处理工具架构可包含抗蚀剂沉积、抗蚀剂暴露(euv扫描机)、抗蚀剂干式显影以及蚀刻模块,如以上所述以及下文参照图9-11和13进一步描述的。
[0217]
在一些实施方案中,一些处理功能可在同一模块中连续地执行,例如干式显影和蚀刻。并且本公开内容的实施方案涉及方法和设备,其用于在euv扫描机中进行光图案化之后,接收晶片(包括配置在待蚀刻层或层堆叠件上的已光图案化的euv抗蚀剂薄膜层)至干式显影/蚀刻室;干式显影已光图案化的euv抗蚀剂薄膜层;接着使用已图案化的euv抗蚀剂作为掩模来蚀刻下伏层,如本文所述。
[0218]
回到图9,处理站900与反应物输送系统901a流体连通,反应物输送系统901a用于将处理气体输送至分配喷头906。反应物输送系统901a任选地包括混合容器904,其用于混合和/或调节处理气体以输送至喷头906。一或更多个混合容器入口阀920可控制处理气体至混合容器904的引入。在使用等离子体暴露的情况下,也可将等离子体输送至喷头906或可在处理站900中产生等离子体。如上所述,在至少某些实施方案中,非等离子体的热暴露是有利的。
[0219]
图9包括任选的汽化点903,其用于将待供应至混合容器904的液体反应物汽化。在一些实施方案中,可设置在汽化点903上游的液体流量控制器(lfc),以控制用于汽化及输送至处理站900的液体的质量流量。例如,lfc可包括位于lfc下游的热质量流量计(mfm)。接着,可以响应于由比例-积分-微分(pid)控制器(与mfm电气连接)所提供的反馈控制信号来调整lfc的柱塞阀。
[0220]
喷头906将处理气体朝向衬底912分配。在图9所示的实施方案中,衬底912位于喷头906下方,并且显示为置于基座908上。喷头906可具有任何适当的形状,并且可具有任何适当数目和布置的端口,以将处理气体分配至衬底912。
[0221]
在一些实施方案中,基座908可以升高或降低以暴露衬底912给衬底912和喷头906之间的体积。应理解的是,在一些实施方案中,基座高度可以经由合适的计算机控制器950通过编程方式进行调节。
[0222]
在一些实施方案中,基座908可通过加热器910来控制温度。在一些实施方案中,在已光图案化抗蚀剂非等离子体热暴露于卤化氢干式显影化学品(例如,hbr、hcl或bcl3)期间,可将基座908加热至大于0℃且高达300℃或更高的温度,例如50至120℃,例如约65至80℃,如所公开的实施方案所述的。
[0223]
此外,在一些实施方案中,对于处理站900的压力控制可以由蝶形阀918提供。如在图9的实施方案中所示,蝶形阀918对由下游真空泵(未示出)提供的真空进行调节。然而,在一些实施方案中,对处理站900的压力控制还可以通过改变引入至处理站900的一种或多种气体的流率来调节。
[0224]
在一些实施方案中,喷头906的位置可以相对于基座908调节以改变衬底912和喷头906之间的体积。此外,应当理解的是,基座908和/或喷头906的竖直位置可以通过本公开内容的范围内的任何合适的机构来改变。在一些实施方案中,基座908可包含用于旋转衬底912的取向的旋转轴线。应该理解的是,在一些实施方案中,这些示例性调节中的一种或多种可以通过一个或多个适当的计算机控制器950以编程方式执行。
[0225]
在可使用等离子体时,例如在温和的基于等离子体的干式显影实施方案和/或在相同室中实施的蚀刻操作中,喷头906和基座908电连接射频(rf)功率源914和匹配网络916
以对等离子体提供功率。在一些实施方案中,等离子体的能量可通过控制处理站的压强、气体的浓度、rf源功率、rf源频率以及等离子体功率脉冲时序中的一个或多个来控制。例如,rf功率源914和匹配网络916可在任何合适的功率下进行操作,以形成具有所期望的自由基物质组成的等离子体。合适功率的示例高达约500w。
[0226]
在一些实施方案中,可以经由输入/输出控制(ioc)测序指令来提供用于控制器950的指令。在一个示例中,用于设置处理阶段的条件的指令可被包含在处理配方的相应的配方阶段中。在某些情况下,处理配方阶段可按顺序排列,使得用于处理阶段的所有指令与该处理阶段同时执行。在一些实施方案中,配方阶段可包括用于设定一个或多个反应器参数的指令。例如,配方阶段可包括用于设定干式显影化学品反应物气体(例如hbr或hcl)的流率的指令、以及用于配方阶段的时间延迟指令。在一些实施方案中,控制器950可包括下文关于图10的系统控制器1050所述的任何特征。
[0227]
如上所述,一个或更多个处理站可以包含在多站处理工具中。图10示出了多站式处理工具1000的实施方案的示意图,其具有入站装载锁1002和出站装载锁1004,其一者或者两者可以包含远程等离子体源。处于大气压的机械手1006被配置为将晶片从通过舱1008装载的盒经由大气端口1010移动至入站装载锁1002内。晶片由机械手1006放置在入站装载锁1002中的基座1012上,关闭大气端口1010,且抽空装载锁。当入站装载锁1002包含远程等离子体源时,晶片在被引入处理室1014之前,可以暴露至装载锁中的远程等离子体处理以处理氮化硅表面。此外,晶片另外也可以在入站装载锁1002中加热,例如以移除湿气和吸附的气体。接下来,通向处理室1014的室传输端口1016被打开,且另一个机械手(未示出)将晶片放置到在反应器中被示出的第一站的基座上的反应器中以用于处理。尽管在图10中绘出的实施方案包含装载锁,但应该理解的是,在一些实施方案中,可以使晶片直接进入处理站。
[0228]
描绘的处理室1014包含4个处理站,图10所示的实施方案中编号为1至4。每个站具有加热的基座(对于站1示出为1018)和气体管线入口。应该理解的是,在一些实施方案中,每个处理站可以具有不同或者多个用途。例如,在一些实施方案中,处理站可以是可在干式显影与蚀刻处理模式之间切换的。附加地或替代地,在一些实施方案中,处理室1014可以包含一个或多个干式显影与蚀刻处理站的匹配对。另外,在一些实施方案中,为了沉积具有竖直梯度组成的euv抗蚀剂膜,多站处理工具可被配置成沉积一系列(例如四个)循序的均质阶梯式吸收层,从而使具有高euv吸收率的原子的密度在膜底部处相对于膜顶部而增加。尽管描绘的处理室1014包含4个站,但要理解的是,根据本公开所述的处理室可以具有任何适当数量的站。例如,在一些实施方案中,处理室可以具有5个或更多站,而在其它实施方案中,处理室可以具有3个或者更少的站。
[0229]
图10描绘了用于在处理室1014内传输晶片的晶片搬运系统1090的一实施方案。在一些实施方案中,晶片搬运系统1090可以在各种处理站之间和/或处理站与装载锁之间传输晶片。应该理解的是,可以采用任何适当的晶片搬运系统。非限制性示例包含晶片转盘和搬运晶片的机械手。图10还绘出了采用来控制处理工具1000的处理条件和硬件状态的系统控制器1050的实施方案。系统控制器1050可以包含一个或多个存储器装置1056、一个或多个海量存储装置1054和一个或多个处理器1052。处理器1052可以包含cpu或者计算机、模拟和/或数字输入/输出连接、步进马达控制器板等。
[0230]
在一些实施方案中,系统控制器1050控制处理工具1000的所有活动。系统控制器1050执行存储在海量存储装置1054、载入存储器装置1056中、并由处理器1052执行的系统控制软件1058。可替代地,控制逻辑可以在控制器1050中硬编码。特定应用集成电路、可编程逻辑装置(例如现场可编程栅极阵列、或者fpga)等可以用于这些目的。在下面的讨论中,无论使用“软件”还是“代码”,都可以使用功能上相当的硬编码的逻辑来取代。系统控制软件1058可以包含用于控制时序、气体的混合物、气体流率、室和/或站压强、室和/或站温度、晶片温度、目标功率电平、rf功率电平、衬底基座、卡盘和/或基座位置、以及由处理工具1000执行的特定处理的其它参数的指令。系统控制软件1058可以以任何适当的方式配置。例如,各种处理工具组件子程序或者控制对象可以写入以控制用于执行各种处理工具处理的处理工具组件的操作。系统控制软件1058可以以任何适当的计算机可读编程语言来编码。
[0231]
在一些实施方案中,系统控制软件1058可以包含用于控制上述各种参数的输入/输出控制(ioc)测序指令。在一些实施方案中可以采用与存储在系统控制器1050关联的海量存储装置1054和/或存储器装置1056的其它计算机软件和/或程序。用于该目的的程序或者程序段的示例包含衬底定位程序、处理气体控制程序、压力控制程序、加热器控制程序、以及等离子体控制程序。
[0232]
衬底定位程序可以包含用于处理工具组件的程序代码,该处理工具组件用于将衬底装载到基座1018上,并控制衬底和处理工具1000的其它部分之间的间距。
[0233]
处理气体控制程序可包含用于控制卤化氢气体组成(例如,如本文所述的hbr或hcl气体)和流率的代码和任选地用于使气体在沉积之前流到一个或多个处理站中以便稳定在处理站中的压强的代码。压强控制程序可以包含用于通过调节例如在处理站的排放系统中的节流阀、流入处理站内的气流等等来控制处理站内的压强的代码。
[0234]
加热器控制程序可包含用于控制流向用于加热衬底的加热单元的电流的代码。可替代地,加热器控制程序可控制传热气体(如氦气)朝向衬底上的传送。
[0235]
等离子体控制程序可包含用于根据本文的实施方案设置施加到一个或多个处理站内的处理电极的rf功率电平的代码。
[0236]
压强控制程序可以包含用于根据本文的实施方案保持反应室内的压强的代码。
[0237]
在一些实施方案中,可以存在与系统控制器1050相关联的用户界面。用户界面可以包含显示屏、设备和/或处理条件的图形软件显示器、以及诸如定点装置、键盘、触摸屏、麦克风等用户输入装置。
[0238]
在一些实施方案中,由系统控制器1050调节的参数会涉及处理条件。非限制性实例包含处理气体组成和流率、温度、压强、等离子体条件(例如,rf偏置功率电平)等。这些参数可以以配方的形式提供给用户,配方可以利用所述用户界面输入。
[0239]
用于监控处理的信号可以由系统控制器1050的模拟和/或数字输入连接件从各种处理工具传感器提供。用于控制处理的信号可以通过处理工具1000的模拟和数字输出连接件输出。可被监控的处理工具传感器的非限制性实例包含质量流量控制器、压力传感器(例如压力计)、热电偶等等。经适当编程的反馈和控制算法可以与来自这些传感器的数据一起使用,以保持处理条件。
[0240]
系统控制器1050可以提供用于执行上述沉积处理的程序指令。所述程序指令可以
控制多种处理参数,如dc功率电平、rf偏置功率电平、压强、温度等。所述指令可以控制这些参数以根据本发明所描述的多种实施方案操作干式显影和/或蚀刻处理。
[0241]
系统控制器1050将通常包含一个或多个存储器装置和被配置成执行指令的一个或多个处理器以使该设备将执行根据所公开的实施方案所述的方法。包含用于控制根据所公开的实施方案的处理操作的指令的机器可读的介质可以耦合到系统控制器1050。
[0242]
在一些实现方案中,系统控制器1050是系统的一部分,该系统可以是上述实施例的一部分。这种系统可以包含半导体处理装置,该半导体处理装置包含一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种组件或子部件。根据处理条件和/或系统的类型,系统控制器1050可以被编程以控制本文公开的任何处理,包含控制处理气体的输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(rf)产生器设置、rf匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其它转移工具和/或与具体系统连接或通过接口连接的装载锁。
[0243]
广义而言,系统控制器1050可以定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包含存储程序指令的固件形式的芯片、数字信号处理器(dsp)、定义为专用集成电路(asic)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)传送到系统控制器1050的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定处理的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的用于在制备晶片的一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。
[0244]
在一些实现方案中,系统控制器1050可以是与系统集成、耦合、以其它方式联网到系统或其组合的计算机的一部分或者与该计算机耦合。例如,系统控制器1050可以在“云端”或者是晶片厂(fab)主机系统的全部或一部分,从而可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监控制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的处理。在一些实施例中,远程计算机(例如,服务器)可以通过网络给系统提供处理配方,网络可以包含本地网络或互联网。远程计算机可以包含允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机传送到系统。在一些实施例中,系统控制器1050接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的处理类型以及工具类型,系统控制器1050被配置成连接或控制该工具类型。因此,如上所述,系统控制器1050可以例如通过包含一个或多个分立的控制器而为分布式,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的处理和控制)工作。用于这些目的的分布式控制器的实施例可以是与结合以控制室内处理的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路。
[0245]
示例的系统可以包含但不限于等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(pvd)室或模块、化学气相沉积(cvd)室或模块、ald室或模块、原子层蚀刻(ale)室或模块、离子注入室或模块、轨道室或模块、euv光刻室(扫描机)或模块、干式显影室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其它的半导体处理系统。
[0246]
如上所述,根据工具将要执行的一个或多个处理步骤,系统控制器1050可以与一个或多个其它的工具电路或模块、其它工具组件、组合工具、其它工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
[0247]
现在描述感应耦合式等离子体(icp)反应器,在某些实施方案中,其可适用于蚀刻操作,该蚀刻存在适用于某些实施方案的实施。虽然本文描述了icp反应器,但应理解,在一些实施方案中,还可使用电容耦合式等离子体反应器。
[0248]
图11示意性的显示了感应耦合式等离子体设备1100的横截面图,其适于实施某些实施方案或实施方案的方面(例如干式显影和/或蚀刻),该设备的示例为由lam research corp.,fremont,ca所生产的反应器。在其他实施方案中,可使用具有执行本文所述的干式显影和/或蚀刻处理的功能的其他工具或工具类型以供实施。
[0249]
感应耦合式等离子体设备1100包括总处理室1124,其在结构上由室壁1101和窗1111限定。室壁1101可以由不锈钢或铝制成。窗1111可以由石英或其他介电材料制成。任选的内部等离子体栅格1150将总处理室分为上副室1102和下副室1103。在大多数的实施方案中,等离子体栅格1150可以被移除,从而利用由副室1102和1103两者构成的室空间。卡盘1117定位在下副室1103中在底部内表面附近。卡盘1117被配置成接收和保持在其上执行蚀刻和沉积处理的半导体晶片1119。卡盘1117可以是当晶片1119存在时用于支撑晶片1119的静电卡盘。在一些实施方案中,边缘环(未示出)围绕卡盘1117,并具有大致与晶片1119(当晶片存在于卡盘1117上方时)的顶面在同一平面的上表面。卡盘1117还包括用于夹紧和松开晶片1119的静电电极。可设置过滤器和dc钳位功率源(未示出)用于此目的。也可以提供其他的控制系统用于提升晶片1119使其离开卡盘1117。卡盘1117可以用rf电源1123充电。rf电源1123通过连接件1127被连接到匹配电路1121。匹配电路1121通过连接件1125连接到卡盘1117。以这种方式,rf电源1123被连接到卡盘1117上。在多种实施方案中,可将静电卡盘的偏压电源设定为约50v,或取决于依据所公开的实施方案所执行的处理而设定为不同的偏压电源。例如,偏压电源可在约20v与约100v之间、或在约30v与约150v之间。
[0250]
用于等离子体产生的元件包括定位于窗1111上方的线圈1133。在一些实施方案中,所公开的实施方案中未使用线圈。线圈1133由导电材料制成,并包括至少一整匝。在图11中所示的线圈1133的示例包括三匝。线圈1133的横截面用符号示出,具有“x”符号的线圈旋转地延伸到页面内,然而,具有
“●”
符号的线圈旋转地延伸出页面。用于等离子体产生的元件还包括被配置为提供rf功率至线圈1133的rf电源1141。一般地,rf电源1141通过连接件1145被连接到匹配电路1139。匹配电路1139通过连接件1143连接到线圈1133。以这种方式,rf电源1141被连接到线圈1133。任选的法拉第屏蔽件1149a被定位在线圈1133和窗1111之间。法拉第屏蔽件1149a可以以相对于线圈1133成隔开的关系被保持。在一些实施方案中,法拉第屏蔽件1149a被设置在窗1111的正上方。在一些实施方案中,法拉第屏蔽件1149b
是在窗部1111与卡盘1117之间。在一些实施方案中,法拉第屏蔽件1149b与线圈1133并非维持相隔开的关系。例如,法拉第屏蔽件1149b可直接在窗1111下方而没有间隙。线圈1133、法拉第屏蔽件1149a、以及窗1111中的每一者被配置为彼此实质上平行。法拉第屏蔽件1149a可防止金属或其它物质沉积于处理室1124的窗1111上。
[0251]
处理气体可以通过定位于上副室1102中的一个或多个主气体流入口1160和/或通过一个或多个侧气体流入口1170流入处理室。同样,虽然未明确示出,但是类似的气体流入口可用于向电容耦合等离子体处理室供应处理气体。真空泵,例如,一级或两级干式机械泵和/或涡轮分子泵1140,可用于将处理气体从处理室1124抽出并维持处理室1124内的压强。例如,该真空泵可用于在ald的清扫操作过程中排空下副室1103。阀控制的导管可用于使真空泵流体连接在处理室1124上,以便选择性地控制由真空泵提供的真空环境的应用。在操作等离子体处理过程中,这可以使用封闭环控制的流量限制设备例如节流阀(未示出)或钟摆阀(未示出)进行。同样,也可以使用受控地流体连接在电容耦合等离子体处理室上的真空泵和阀。
[0252]
在设备1100的操作过程中,一种或多种处理气体可通过气体流入口1160和/或1170供给。在某些实施方案中,处理气体可以仅通过主气体流入口1160供给,或者仅通过侧气体流入口1170供给。在一些情况下,在图中所示的气体流入口可以由较复杂的气体流入口替代,例如由一个或多个喷头替代。法拉第屏蔽件1149和/或任选的栅格1150可以包括使处理气体能输送至处理室1124的内部通道和孔。法拉第屏蔽件1149和任选的栅格1150中的一者或两者可以作为用于输送处理气体的喷头。在一些实施方案中,液体蒸发和输送系统可位于处理室1124的上游,使得一旦液体反应物或前体被蒸发,那么蒸发的反应物或前体通过气体流入口1160和/或1170引入到处理室1124中。
[0253]
射频功率从rf电源1141供给到线圈1133以使rf电流流过线圈1133。流过线圈1133的rf电流产生围绕线圈1133的电磁场。该电磁场产生在上副室1102内的感应电流。所生成的各离子和自由基与晶片1119的物理和化学相互作用蚀刻晶片的特征并且选择性地在晶片1119上沉积层。
[0254]
如果使用等离子体栅格1150使得存在上副室1102和下副室1103二者,则感应电流作用于存在于上副室1102中的气体上以在上副室1102中产生电子-离子等离子体。任选的内部等离子体栅格1150限制下副室1103中的热电子的量。在一些实施方案中,设计和操作所述设备1100使得存在于下副室1103中的等离子体是“离子-离子”等离子体。
[0255]
上部的电子-离子等离子体和下部的离子-离子等离子体二者可包含阳离子和阴离子,但是离子-离子等离子体将具有更大的阴离子与阳离子的比率。挥发性的蚀刻和/或沉积的副产物可通过端口1122从下副室1103去除。本文所公开的卡盘1117可在约10℃和约250℃之间的升高的温度范围内操作。该温度将取决于处理操作和具体配方。
[0256]
设备1100当安装在清洁室或制造厂中时可耦合到设施(未示出)。这样的设施包括管道,管道提供处理气体、真空、温度控制和环境微粒控制。这些设施当安装在目标制造厂时耦合到设备1100。此外,设备1100可耦合在传送室上,从而允许机械手使用典型的自动化传送半导体晶片进出设备1100。
[0257]
在一些实施方案中,系统控制器1130(其可以包括一个或多个物理或逻辑控制器)控制处理室1124的操作中的一些或全部。系统控制器1130可以包括一个或多个存储器装置
和一个或多个处理器。在一些实施方案中,该设备1100包括在执行所公开的实施方案时用于控制流率和持续时间的切换系统。在一些实施方案中,该设备1100可具有高达约500ms或高达约750ms的切换时间。切换时间可以取决于流动化学物质、配方选择、反应器架构以及其他因素。
[0258]
在一些实现方案中,系统控制器1130是系统的一部分,该系统可以是上述示例的一部分。这种系统可以包括半导体处理装置,半导体处理装置包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以集成到系统控制器1130中,其可以控制一个或多个系统的各种部件或子部件。根据处理参数和/或系统类型,系统控制器可以被编程以控制本文公开的任何处理,包括控制处理气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、射频(rf)发生器设置、rf匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、进出连接到特定系统或与特定系统接口的工具和其他输送工具和/或装载锁的晶片输送。
[0259]
广义而言,控制器1130可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用终点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(dsp)、定义为专用集成电路(asic)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式输送到控制器的指令,单独设置(或程序文件)定义用于在半导体晶片上或针对半导体晶片或系统执行特定处理的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造或去除期间完成一个或多个处理步骤。
[0260]
在一些实现方案中,系统控制器1130可以是与系统集成、耦合、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或在晶片厂(fab)主机系统的全部或一部分中,其可以允许对晶片处理的远程访问。计算机可以实现对系统的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、从多个制造操作研究趋势或性能标准,以改变当前处理的参数、设置要跟随当前处理的处理步骤、或者开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供处理配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户接口,然后将该参数和/或设置从远程计算机输送到系统。在一些示例中,系统控制器1130接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的处理的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。因此,如上所述,系统控制器1130可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的处理和控制)工作的一个或多个离散控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)定位的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的处理。
[0261]
示例性的系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂
洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(pvd)室或模块、化学气相沉积(cvd)室或模块、ald室或模块、ale室或模块、离子注入室或模块、轨道室或模块、euv光刻室(扫描机)或模块、干式显影室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理系统。
[0262]
如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。
[0263]
euvl图案化可利用任何合适的工具实施,所述工具通常被称为扫描机,例如由asml(veldhoven,nl)所提供的twinscan平台。euvl图案化工具可以是独立的装置,衬底被移入其中或自其移出以用于本文所述的沉积与蚀刻。或者,如下所述,euvl图案化工具可以是在较大的多部件工具上的模块。图12描绘了半导体处理集群工具架构,其具有与真空传送模块对接的真空整合式沉积、euv图案化、及干式显影/蚀刻模块,适用于进行本文所述的处理。虽然可在不具有这样的真空集成设备的情况下实施这些处理,但这样的设备在某些实现方案中可能是有利的。
[0264]
图12描绘了半导体处理集群工具架构,其具有与真空传送模块对接的真空整合式沉积和图案化模块,其适用于实施本文所述的处理。用于在多个储存设备与处理模块之间“传送”晶片的传送模块的布置可称为“集群工具架构”系统。根据特定处理的需求,沉积以及图案化模块是真空整合式的。在该集群上还可包括其它模块(例如用于蚀刻)。
[0265]
真空传送模块(vtm)1238与四个处理模块1220a-1220d对接,其可各自进行优化以执行各种制造处理。作为一示例,处理模块1220a-1220d可用于执行沉积、蒸发、eld、干式显影、蚀刻、剥除、和/或其它半导体处理。例如,模块1220a可以是ald反应器,其可操作以执行本文所述的非等离子体的热原子层沉积,例如可购自lam research corporation(fremont,ca)的vector工具。并且模块1220b可以是peald工具(例如lam)。应理解,图未必按比例绘制。
[0266]
气锁1242及1246(也称为装载锁或传送模块)与vtm 1238以及图案化模块1240对接。例如,如上所述,合适的图案化模块可为twinscan nxe:平台(由asml(veldhoven,nl)提供)。此工具架构容许工件(例如半导体衬底或晶片)在真空下传送,以便不在暴露之前反应。沉积模块与光刻工具的整合通过以下事实促成:考虑到环境气体(例如h2o、o2等)对于入射光子的强烈光学吸收性,euvl还需要大幅降低的压力。
[0267]
如上所述,该整合架构仅为用于实行所述处理的工具的一可能实施方案。这些处理的实现也可使用更为常规的独立euvl扫描机以及沉积反应器(例如lam vector工具),其为独立的或与其它工具(例如蚀刻、剥除等(例如lam kiyo或gamma工具))以模块形式一同整合于集群架构中,例如参考图12所述的(但没有整合的图案化模块)。
[0268]
气锁1242可以是“输出”装载锁,其是指将衬底从供沉积模块1220a使用的vtm 1238传出至图案化模块1240,而气锁1246可以是“输入”装载锁,其是指将衬底从图案化模块1240传送回vtm 1238。输入装载锁1246也可作为至工具外部的接合部,以用于衬底的进
出。每一处理模块具有将该模块对接至vtm 1238的小面(facet)。例如,沉积处理模块1220a具有小面1236。在每一小面内,传感器(例如,图中所示的传感器1-18)用于,当晶片1226在相应的站与站之间移动时,检测晶片的通过。图案化模块1240及气锁1242、1246可类似地装配有额外的小面以及传感器(未显示)。
[0269]
主要vtm机械手1222在模块(包括气锁1242及1246)之间传送晶片1226。在一实施方案中,机械手1222具有一手臂,而在另一实施方案中,机械手1222具有两手臂,其中每一手臂具有末端效应器1224以拾取晶片(例如晶片1226)而进行输送。前端机械手1244用于将晶片1226从输出气锁1242传送至图案化模块1240中、从图案化模块1240传送至输入气锁1246中。前端机械手1244也可在输入装载锁与工具外部之间输送晶片1226,以用于衬底的进出。由于输入气锁模块1246能够匹配在大气与真空之间的环境,所以晶片1226能在这两个压力环境之间移动而不会受损。
[0270]
应当注意,相比于沉积工具,euvl工具通常在较高的真空下操作。如果情况是如此,则期望在沉积与euvl工具之间的传送期间增加衬底的真空环境,以容许衬底在进入图案化工具之前进行除气。输出气锁1242可通过将所传送的晶片维持在较低压力(不高于图案化模块1240中的压力)一段时间并抽空任何离去气体(off-gassing)来提供此功能,使得图案化工具1240的光学组件不会被来自衬底的离去气体所污染。输出离去气体气锁的合适压强为不超过1e-8托。
[0271]
在一些实施方案中,系统控制器1250(其可包括一或更多物理或逻辑控制器)控制集群工具和/或其分开的模块的一些或所有操作。应当注意,控制器可在集群架构本地、或可位于制造楼层中的集群架构的外部、或位于远程位置并经由网络连接至集群架构。系统控制器1250可包括一或更多存储器装置以及一或更多处理器。处理器可包括中央处理单元(cpu)或计算机、模拟和/或数字输入/输出连接、步进马达控制板、及其它类似部件。在处理器上执行用于实施合适的控制操作的多个指令。这些指令可存储于与控制器相连的存储器装置上、或可通过网络而提供。在某些实施方案中,系统控制器执行系统控制软件。
[0272]
系统控制软件可包括用于控制工具或模块操作的任何方面的应用与规模的时序的指令。系统控制软件可以任何适当的方式配置。例如,可编写各种处理工具部件子程序或控制对象,以控制实施各种处理工具程序所需的处理工具部件的操作。系统控制软件可以任何合适的计算器可读程序语言进行编码。在一些实施方案中,系统控制软件包括输入输出控制(ioc)序列指令,以控制上述的各种参数。例如,半导体制造处理的每一阶段可包括由系统控制器所执行的一或更多指令。例如,用于设定凝结、沉积、蒸发、图案化和/或蚀刻阶段的处理条件的指令可包括在相对应的配方阶段中。
[0273]
在多种实施方案中,提供用于形成负型图案掩模的设备。该设备可包括用于图案化、沉积以及蚀刻的处理室、以及包括用于形成负型图案掩模的指令的控制器。指令可包括用于在处理室中执行下列处理的代码:通过euv暴露使衬底表面暴露,图案化在半导体衬底上的化学放大抗蚀剂(car)中的特征;使已光图案化的抗蚀剂进行干式显影;以及使用已图案化的光致抗蚀剂作为掩模以蚀刻下伏层或层堆叠件。
[0274]
应当注意,控制晶片移动的计算机可在集群架构本地、或可位于制造楼层中的集群架构的外部、或位于远程位置并经由网络连接至集群架构。上文关于图9、10、11或13中任一者描述的控制器可用图12中的工具来实现。
[0275]
图13示出了沉积室的示例(如用于基于蒸气的沉积,例如用于成像层和/或辐射吸收层)。可以看出,描绘了设备1300,其具有处理室1302,该处理室1302包括盖1308。处理室1302可包括穿过处理室1302中的壁中的一个的晶片传送通道1304,该晶片传送通道1304的尺寸被设计为允许衬底1322穿过其中并进入处理室1302的内部,其中衬底1322可设置在晶片支撑件1324上。晶片传送通道1304可具有闸阀1306或类似门机构,其可被操作成密封或打开晶片传送通道,因而允许将处理室1302内的环境与闸阀1306的另一侧环境隔离。例如,处理室1302可通过位于相邻传送室中的晶片搬运机械手而被提供衬底1322。这种传送室可例如具有围绕其周缘布置的多个处理室1302,其中每个这样的处理室1302都通过对应闸阀1306而与传送室连接。
[0276]
晶片支撑件1324可以例如包括静电卡盘(esc)1326,其可用于提供用于支撑衬底1322的晶片支撑表面。esc1326可包括例如基板1334,该基板1334接合至放置于基板1334上的顶板1328。顶板1328可例如由陶瓷材料制成,且可在其内部嵌有几个其他部件。在所描绘的示例中,顶板1328具有嵌入其内部的两个分开的电气系统。一种这样的系统是静电夹持电极系统,其可具有一或更多个夹持电极1332,夹持电极1332可以用于在衬底1322内产生电荷,从而将衬底1322拉至抵靠在顶板1328的晶片支撑表面上。在图13的实施方案中,有两夹持电极1332,其提供双极静电夹持系统,但一些实施方案可能仅使用单个夹持电极1332以提供单极静电夹持系统。
[0277]
另一系统为热控制系统,其可用于在处理条件期间控制衬底1322的温度。在图13中,热控制系统为多区域热控制系统,其特征在于四个环形电阻加热器迹线1330a、1330b、1330c以及1330d,所述迹线彼此同心并位于夹持电极1332下方。在一些实施方案中,中心电阻加热器迹线1330a可填充大致圆形的区域,而每一电阻加热器迹线1330a/b/c/d可以在对应的环形区域内跟随呈大致蛇形或曲折的路径。每一电阻加热器迹线1330a/b/c/d可被单独控制,以在顶板1328中提供各种径向加热曲线;在一些情况下,这种四区域加热系统可例如被控制成维持衬底1322具有
±
0.5℃的温度均匀性。尽管图13的设备1300的特征在于esc1326中的四区域加热系统,但其他实施方案可使用具有多于或少于四区域的单区域或多区域加热系统。
[0278]
在例如上述温度控制机构的一些实施方案中,可使用热泵代替电阻加热迹线。例如,在一些实施方案中,电阻加热器迹线可被帕尔帖结(peltier junctions)其他可被控制成将热从其一侧”泵送”至另一侧的类似装置取代或增强。这种机构可用于例如从顶板1328(并且因而衬底1322)吸取热并将其引导至基板1334以及热交换通道1336中,因而使得衬底1322能更快速且更有效地冷却(如果需要的话)。
[0279]
esc1326还可以包括例如基板1334,其可用于对顶板1328的下侧提供结构支撑并且还可用作散热系统。例如,基板1334可包括一或更多个热交换通道1336,其以总体分布方式布置在整个基板1334中,例如,热交换通道1336可在基板1334中心周围遵循蛇形、圆形折线或螺旋形图案。在使用期间,热交换介质(例如水或惰性氟化液体)可循环通过热交换通道1336。可从外部控制热交换介质的流速和温度,以在基板1334中引起特定的加热或冷却行为。
[0280]
esc1326可例如由与晶片支撑柱1344连接并由其支撑的晶片支撑壳体1342支撑。晶片支撑柱1344可例如具有用于将缆线、流体流导管及其他装备布线至基板1334和/或顶
板1328下侧的布线通道1348及其他贯通孔。例如,虽然没有在图13中示出,但可将用于提供电力至电阻加热器迹线1330a/b/c/d的缆线布线穿过布线通道1348,可以与用于提供电力至夹持电极1332的布线一样。还可以将其他缆线(例如用于温度传感器的缆线)布线穿过布线通道1348而到达晶片支撑件1324内部的位置。在具有温度可控基板1334的实施方案中,还可以将用于往来于基板1334输送热交换介质的导管穿过布线通道1348布线。为避免不必要的杂乱,这种缆线及导管未描绘在图13中,但应当理解其仍将存在。
[0281]
图13的设备1300还包括晶片支撑件z-致动器1346,其可以为晶片支撑柱1344提供可移动支撑。晶片支撑件z-致动器1346可以被致动以引起晶片支撑柱1344和由其支撑的晶片支撑件1324在处理室1302的反应空间1320内竖直向上或向下移动,例如高达几英寸。这样做时,衬底1322和喷头1310的下侧之间的间隙距离x可以根据各种处理条件进行调整。
[0282]
在一些实施方案中,晶片支撑件1324还可包括一或更多个边缘环,其可以用于控制和/或微调各种处理条件。在图13中,提供了上边缘环1338,其位于例如下边缘环1340a和1340b上,且下边缘环1340a和1340b又由晶片支撑壳体1342以及第三下边缘环1340c支撑。上边缘环1338例如一般可遭遇与衬底1322相同的处理环境,而下边缘环1340a/b/c一般可以与处理环境隔离。由于上边缘环1338的暴露增加,因此上边缘环1338寿命有限,且相比于下边缘环1340a/b/c可能需要更频繁地更换或清洁。
[0283]
设备1300还可以包括用于在处理期间和处理结束之后从处理室1302去除处理气体的系统。例如,处理室1302可以包括环绕晶片支撑柱1344的环形增压室1356。环形增压室1356又可以与真空前级管线1352流体连接,该真空前级管线1352可以与真空泵(例如可位于设备1300下方的底层板下方)连接。调节阀1354可以设置在真空前级管线1352和处理室1302之间并被致动以控制进入真空前级管线1352的流量。在一些实施方案中,可以提供挡板1350,例如环形板或其他结构,其可以用于使进入环形增压室1356的流更均匀地分布在晶片支撑柱1344的圆周周围,以减少流过衬底1322的反应物中出现流不均匀性的机会。
[0284]
如图所示,喷头1310是双增压室喷头1310并且包括经由第一入口1316提供处理气体的第一增压室1312和经由第二入口1318提供处理气体的第二增压室1314。通常,可以采用两个增压室来在释放前体和逆反应物之前保持前体和逆反应物之间的分离。在一些情况下,可使用单个增压室将前体输送到处理室1302的反应空间1320中。每个增压室可以具有相应的一组气体分配端口,这些气体分配端口通过喷头1310的面板将相应的增压室与反应空间1320进行流体连接(面板是插入在最下方的增压室和反应空间1320之间的喷头1310的部分)。
[0285]
喷头1310的第一入口1316和第二入口1318可以经由气体供应系统提供处理气体,该气体供应系统可以被配置为提供一种或多种前体和/或逆反应物,如本文所讨论的。所描绘的设备1300配置成提供多种前体和多种逆反应物。例如,第一阀歧管1368a可配置成提供前体至第一入口1316,而第二阀歧管1368b可配置成提供其他前体或其他逆反应物至第二入口1318。
[0286]
第一阀歧管1368a可以被配置为向第一入口1316提供一个或多个前体,而第二阀歧管1368b可以被配置为向第二入口1318提供其他前体或其他反应物。在该示例中,第一阀歧管1368a例如包括多个阀a1-a5。例如,阀a2可以是三通阀,其具有与第一汽化器1372a流体连接的一个端口、与旁通管线1370a流体连接的另一个端口,以及与另一个三通阀a3上的
端口流体连接的第三端口。类似地,阀a4可以是另一个三通阀,其具有与第二汽化器1372b流体连接的一个端口、与旁路管线1370a流体连接的另一个端口,以及与另一个三通阀a5上的端口流体连接的第三端口。阀a5上的其他端口之一可以与第一入口1316流体连接,而阀a5上的其余端口可以与阀a3上的其余端口之一流体连接。阀a3上的其余端口又可与阀a1流体连接,该阀a1可以流体插入在阀a3和清扫气体源1374之间,该气体例如氮气、氩气或其他合适的惰性气体(相对于前体和/或逆反应物)。在一些实施方案中,仅采用第一阀歧管。
[0287]
出于本公开的目的,术语“流体连接”是关于可以彼此连接以形成流体连接的体积、增压室、孔等使用的,类似于术语“电连接”是关于连接在一起以形成电连接的部件使用的那样。术语“流体插入”如果使用,可以用于指部件、体积、增压室或孔与至少两个其他部件、体积、增压室或孔流体连接,使得从这些其他部件、体积、增压室或孔中的一个流到这些部件、体积、增压室或孔的其他一个或另一者的流体将首先流过“流体插入”的部件,然后才到达这些部件、体积、增压室或孔的其他一个或另一者。例如,如果泵流体插入在储液器和出口之间,则从储液器流到出口的流体将在到达出口之前首先流过泵。
[0288]
例如,第一阀歧管1368a可以是可控的,以使得来自汽化器1372a和1372b之一或两者的蒸汽流到处理室1302或通过第一旁通管线1370a并进入真空前级管线1352。第一阀歧管1368a也可以是可控的,以使清扫气体从清扫气体源1374流入第一入口1316。
[0289]
例如,为了使蒸气从第一汽化器1372a流入反应空间1320,可致动阀a2以使蒸气从第一汽化器1372a先流入第一旁通管线1370a。该流量可维持足够长的时间,以允许蒸气的流量达到稳态流量条件。经过足够时间后(或者在流量计(如果使用的话)指示流速为稳定之后),可致动阀a2、a3及a5,以将蒸气流从第一汽化器1372a引导至第一入口。阀a4和a5可执行类似操作,以将蒸气从第二汽化器1372b输送至第一入口1316。在一些实例中,可能希望通过致动阀a1、a3和a5以使清扫气体从清扫气体源1374流入第一入口1316,从而将蒸气中的一种从第一增压室1312清除。在一些额外实施方案中,可能希望同时使来自汽化器1372a或1372b中的一者的蒸气与来自清扫气体的气体一同流入第一入口1316。这种实施方案可用来稀释包含在这种蒸气中的反应物的浓度。
[0290]
应当理解,可以以类似方式,例如通过控制阀b1-b5,控制第二阀歧管1368b以将来自汽化器1372c和1372d的蒸汽提供到第二入口1318或第二旁通管线1370b。还应当理解,也可以使用不同的歧管布置,包括单个整体歧管,该歧管包括用于控制前体、逆反应物或其他反应物流向第一入口1316和第二入口1318的阀。
[0291]
如前所述,一些设备1300可以以较少数量的蒸汽源(例如仅两个汽化器1372)为特征,在这种情况下,阀歧管1368可以被修改为具有较少数量的阀,例如仅阀a1-a3。
[0292]
如上文所讨论的,可用于提供膜的干法沉积的设备(例如设备1300)可被配置为维持处理室1302内的特定温度分布。特别地,这种设备1300可被配置为将衬底1322维持在比与前体和/或逆反应物直接接触的设备1302的大多数装备更低的温度下,例如低至少25℃至50℃的温度下。另外,与前体和/或逆反应物直接接触的设备1300的装备温度可保持在足够高以防止汽化反应物在这种装备表面上凝结的升高水平。同时,可将衬底1322的温度控制在促进反应物在衬底1322上凝结或至少沉积的水平。
[0293]
为了提供温度控制,设备1300中可以包括各种加热系统。例如,处理室1302可以具有用于接收筒式加热器1358的容器,例如,对于具有大致圆筒形的内部体积但呈正方形或
矩形的外部形状的处理室1302,用于接收筒式加热器1358的竖直孔可以钻入到室1302壳体的四个角中。在一些实施方式中,喷头1310可以覆盖有加热毯1360,该加热毯1360可以用于在喷头1310的暴露的上表面上施加热量以保持喷头温度升高。对用于将汽化的反应物从汽化器1372传导到喷头1310的各种气体管线进行加热也可能是有益的。例如,电阻加热带可以缠绕在这种气体管线周围并且用于将它们加热到升高的温度。如图13所示,可能有前体和/或逆反应物流过的所有气体管线均显示为被加热,包括旁通管线1370。唯一例外是从阀歧管1368至第一入口1316及第二入口1318的气体管线,其可能很短,且可能被喷头1310间接加热。当然,甚至这些气体管线可被主动加热(如果希望的话)。在一些实施方案中,也可在靠近闸阀1306处提供加热器,以提供热至闸阀。
[0294]
设备1300的各种操作系统可以由控制器1384控制,该控制器1384可以包括一个或多个处理器1386和一个或多个存储器设备1388,该处理器1386和存储器设备1388可操作地彼此连接并且与设备1300的各种系统和子系统通信连接,以便为这些系统提供控制功能。例如,控制器1384可以被配置为控制阀a1-a5和b1-b5、各种加热器1358、1360、汽化器1372、调节阀1354、闸阀1306、晶片支撑件z-致动器等。
[0295]
设备1300可以包括的另一个特征在图14中示出,该图描绘了图13的衬底1322、顶板1328和上边缘环1338的一部分的特写侧截面图和平面图。可以看出,在一些实施方案中,衬底1322可以通过多个小台面1376从顶板1328的大部分上升高,该小台面1376可以是浅凸台,该浅凸台从顶板1328的标称上表面突出一小段距离以在衬底1322的下侧和顶板1328的大部分之间提供背面间隙1378。可以在顶板1328的外周边提供周向壁特征1377。周向壁特征1377可以围绕顶板1328的整个周边延伸并且其标称高度与台面1376的相同。在处理操作期间,通常惰性的气体,例如氦气,可以经由一种或多种气体端口1382流入背面间隙1378。然后该气体可以在遇到周向壁特征1377之前径向向外流动,然后以这种方式限制这种径向向外的流并导致气体的较高压力区域被困在衬底1322和顶板1328之间。通过周向壁1377泄漏的惰性气体可以最终通过衬底1322的外边缘和上边缘环1338的一部分之间的径向间隙1380流出。这种气体可以用于通过防止喷头1310释放的气体到达衬底1322的下侧,保护衬底1322的下侧不受正在执行的处理操作的不利影响。同时,释放到后侧间隙1378区域中的气体还可以起到增加衬底1322和顶板1328之间的热耦合的作用,从而允许顶板1328更有效地加热或冷却衬底1322。由于由周向壁提供的更高压力,后侧间隙1378区域内的气体也可以比室的其余部分中的气体密度更高,并且因此可以在衬底1322和顶板1328之间提供更有效的热耦合。
[0296]
控制器1384可以被配置为,例如,经由计算机可执行指令的执行,使设备1300执行与以上提供的公开一致的各种操作。
[0297]
一旦成像层和/或辐射吸收层已经沉积在衬底1322上,如上所述,衬底1322可以传送到一个或多个后续处理室或工具以进行额外的操作(例如,本文所述的任何操作)。在2020年6月22日提交的名称为“apparatus for photoresist dry deposition”的国际专利申请号pct/us2020/038968中描述了其他沉积设备,该申请通过引用整体并入本文。定义
[0298]
本文中可互换使用的“酰氧基”或“烷酰氧基”是指通过氧基基团与母体分子基团连接的如本文所定义的酰基或烷酰基。在特定的实施方案中,烷酰氧基是-o-c(o)-ak,其中
ak是如本文所定义的烷基基团。在一些实施方案中,未取代的烷酰氧基是c
2-7
烷酰氧基基团。示例性的烷酰氧基基团包括乙酰氧基。
[0299]“烯基”是指具有一个或多个双键的任选取代的c
2-24
烷基。烯基可以是环状的(例如,c
3-24
环烯基)或无环的。烯基基团也可以是取代的或未取代的。例如,烯基基团可被一个或多个取代基(如本文针对烷基所述的基团)取代。
[0300]“亚烯基”是指烯基基团的多价(例如,二价)形式,其是具有一个或多个双键的任选取代的c
2-24
烷基。亚烯基基团可以是环状的(例如,c
3-24
环烯基)或无环的。亚烯基基团可以是取代的或未取代的。例如,亚烯基基团可以被一个或多个取代基(如本文针对烷基所述的基团)取代。示例性的非限制性亚烯基基团包括-ch=ch-或-ch=chch
2-。
[0301]“烷氧基”是指-or,其中r是任选取代的烷基基团,如本文所述。示例性烷氧基基团包括甲氧基、乙氧基、丁氧基、三卤代烷氧基,例如三氟甲氧基等。烷氧基基团可以是取代的或未取代的。例如,烷氧基可以被一个或多个取代基(如本文针对烷基所述的基团)取代。示例性的未取代烷氧基基团包括c
1-3
、c
1-6
、c
1-12
、c
1-16
、c
1-18
、c
1-20
或c
1-24
烷氧基。
[0302]“烷基”和前缀“烷”是指具有1至24个碳原子的支链或无支链的饱和烃基,例如甲基(me)、乙基(et)、正丙基(n-pr)、异丙基(i-pr)、环丙基、正丁基(n-bu)、异丁基(i-bu)、仲丁基(s-bu)、叔丁基(t-bu)、环丁基、正戊基、异戊基、仲戊基、新戊基、己基、庚基、辛基、壬基、癸基、十二烷基、十四烷基、十六烷基、二十烷基、二十烷基等。烷基基团可以是环状的(例如,c
3-24
环烷基)或无环的。烷基基团可以是支链的或无支链的。烷基基团也可以是取代的或未取代的。例如,烷基基团可包括卤代烷基,其中烷基基团被一个或多个卤基取代,如本文所述。在另一个示例中,烷基基团可以被一个、两个、三个或在两个或更多个碳的烷基的情况下被四个取代基取代,该取代基独立地选自由以下项组成的组:(1)c
1-6
烷氧基(例如,-o-ak,其中ak是任选取代的c
1-6
烷基);(2)氨基(例如,nr
n1rn2
,其中r
n1
和r
n2
中的每个独立地是h或任选取代的烷基,或r
n1
和r
n2
与各自连接的氮原子一起形成杂环基基团);(3)芳基;(4)芳基烷氧基(例如-o-lk-ar,其中lk是任选取代的烷基的二价形式,并且ar是任选取代的芳基);(5)芳酰基(例如,c(o)-ar,其中ar是任选取代的芳基);(6)氰基(例如-cn);(7)羧基醛(例如,-c(o)h);(8)羧基(例如,-co2h);(9)c
3-8
环烷基(例如一价饱和或不饱和的非芳族环状c
3-8
烃基);(10)卤素(例如f、cl、br或i);(11)杂环基(例如,5、6或7元环,除非另有说明,否则含有一个、两个、三个或四个非碳杂原子,例如氮、氧、磷、硫或卤素);(12)杂环氧基(例如-o-het,其中het是杂环基,如本文所述);(13)杂环基(例如,-c(o)-het,其中het是杂环基,如本文所述);(14)羟基(例如-oh);(15)n-保护的氨基;(16)硝基(例如,-no2);(17)氧代(例如,=o);(18)-co2ra,其中ra选自(a)c
1-6
烷基、(b)c
4-18
芳基和(c)(c
4-18
芳基)c
1-6
烷基(例如-lk-ar,其中lk是任选取代的烷基基团的二价形式,并且ar是任选取代的芳基);(19)-c(o)nrbrc,其中rb和rc中的每个独立地选自(a)氢、(b)c
1-6
烷基、(c)c
4-18
芳基和(d)(c
4-18
芳基)c
1-6
烷基(例如-lk-ar,其中lk是任选取代的烷基基团的二价形式并且ar是任选取代的芳基);以及(20)-nrgrh,其中rg和rh中的每个独立地选自(a)氢,(b)n-保护基团,(c)c1-6
烷基,(d)c
2-6
烯基(例如,具有一个或多个双键的任选取代的烷基),(e)c
2-6
炔基(例如,具有一个或多个三键的任选取代的烷基),(f)c
4-18
芳基,(g)(c
4-18
)芳基)c
1-6
烷基(例如,lk-ar,其中lk是任选取代的烷基基团的二价形式,并且ar是任选取代的芳基)、(h)c
3-8
环烷基和(i)(c
3-8
环烷基)c
1-6
烷基(例如,-lk-cy,其中lk是任选取代的烷基基团的二价形
式并且cy是任选取代的环烷基,如本文所述),其中在一个实施方案中没有两个基团通过羰基基团与氮原子结合。烷基基团可以是被一个或多个取代基(例如,一个或多个卤素或烷氧基)取代的伯、仲或叔烷基基团。在一些实施方案中,未取代的烷基是c
1-3
、c
1-6
、c
1-12
、c
1-16
、c
1-18
、c
1-20
、或c
1-24
烷基基团。
[0303]“亚烷基”是指烷基基团的多价(例如,二价)形式,如本文所述。示例性亚烷基基团包括亚甲基、亚乙基、亚丙基、亚丁基等。在一些实施方案中,亚烷基基团是c
1-3
、c
1-6
、c
1-12
、c
1-16
、c
1-18
、c
1-20
、c
1-24
、c
2-3
、c
2-6
、c
2-12
、c
2-16
、c
2-18
、c
2-20
、或c
2-24
亚烷基基团。亚烷基基团可以是支链的或无支链的。亚烷基基团也可以是取代的或未取代的。例如,亚烷基基团可以被一个或多个取代基基团(如本文针对烷基所述的基团)取代。
[0304]“炔基”是指具有一个或多个三键的任选取代的c
2-24
烷基。炔基基团可以是环状或无环的,并且其示例为乙炔基、1-丙炔基等。炔基基团也可以是取代的或未取代的。例如,炔基基团可以被一个或多个取代基基团(如本文针对烷基所述的基团)取代。
[0305]“亚炔基”是指炔基基团的多价(例如,二价)形式,其是具有一个或多个三键的任选取代的c
2-24
烷基。亚炔基基团可以是环状的或无环的。亚炔基基团可以是取代的或未取代的。例如,亚炔基基团可以被一个或多个取代基基团(如本文针对烷基所述的基团)取代。示例性的非限制性亚炔基基团包括-c≡c-或-c≡cch
2-。
[0306]“氨基”是指-nr
n1rn2
,其中r
n1
和r
n2
中的每个独立地是h、任选取代的烷基或任选取代的芳基,或r
n1
和r
n2
连同各自连接的氮原子一起形成如本文所定义的杂环基基团。
[0307]“芳基”是指这样一种基团,其包含任何基于碳的芳族基团,包括但不限于苯基、苄基、蒽基团(anthracenyl)、蒽基(anthryl)、苯并环丁烯基、苯并环辛烯基、联苯基、基(chrysenyl)、二氢茚基、荧蒽基、引达省基(indacenyl)、茚基、萘基、菲基、苯氧基苄基、苉基(picenyl)、芘基、三联苯等,包括稠合的苯并-c
4-8
环烷基(例如,如本文所定义),例如茚满基、四氢萘基、芴基等。术语芳基还包括杂芳基,其定义为包含芳族基团的基团,该芳族基团具有结合在芳族基团的环内的至少一个杂原子。杂原子的示例包括但不限于氮、氧、硫和磷。同样,也包括在术语芳基中的术语非杂芳基定义了含有不含杂原子的芳香基团的基团。芳基基团可以是取代的或未取代的。芳基基团可以被一个、两个、三个、四个或五个取代基(例如本文针对烷基所述的任何取代基)取代。
[0308]“亚芳基”是指芳基基团的多价(例如,二价)形式,如本文所述。示例性的亚芳基基团包括亚苯基、亚萘基、亚联苯基、三亚苯基、二苯醚、苊撑(acenaphthenylene)、亚蒽或亚菲。在一些实施方案中,亚芳基基团是c
4-18
、c
4-14
、c
4-12
、c
4-10
、c
6-18
、c
6-14
、c
6-12
、或c
6-10
亚芳基基团。亚芳基基团可以是支链的或无支链的。亚芳基基团也可以是取代的或未取代的。例如,亚芳基基团可以被一个或多个取代基(如本文针对烷基或芳基所述的基团)取代。
[0309]“(芳基)(烷)撑”((aryl)(alkyl)ene)意指包括连接到如本文所述的亚烷基或杂亚烷基基团的如本文所述的亚芳基基团的二价形式。在一些实施方案中,(芳基)(烷)撑基团是-l-ar-或-l-ar-l-或-ar-l-,其中ar是亚芳基基团并且每个l独立地是任选取代的亚烷基基团或任选取代的杂亚烷基基团。
[0310]“羰基”意指-c(o)-基团,其也可以表示为》c=o,或-co基团。
[0311]“羧基”意指-co2h基团。
[0312]“羧基烷基”意指被一个或多个如本文定义的羧基基团取代的如本文定义的烷基
基团。
[0313]“羧基芳基”意指被一个或多个如本文定义的羧基基团取代的如本文定义的芳基基团。
[0314]“环状酸酐”意指3、4、5、6或7元环(例如,5、6或7元环),除非另有说明,否则该环在环内具有-c(o)-o-c(o)-基团。术语“环状酸酐”还包括双环、三环和四环基团,其中任何上述环稠合到独立地选自芳环、环己烷环、环己烯环、环戊烷环、环戊烯环和另一个单环杂环的一个、两个或三个环。示例性的环状酸酐基团包括通过去除一个或多个氢由琥珀酸酐、戊二酸酐、马来酸酐、邻苯二甲酸酐、异色满-1,3-二酮、氧杂环庚二酮(oxepanedione)、四氢邻苯二甲酸酐、六氢邻苯二甲酸酐、均苯四甲酸二酐(pyromellitic dianhydride)、萘二甲酸酐(naphthalic anhydride)、1,2-环己烷二羧酸酐等形成的自由基。其他示例性环状酸酐基团包括二氧代四氢呋喃基、二氧代二氢异苯并呋喃基等。环状酸酐基团也可以是取代的或未取代的。例如,环状酸酐基团可以被一个或多个基团(包括本文针对杂环基所述的那些基团)取代。
[0315]“环烯基”意指三至八个碳的一价不饱和非芳族或芳族环状烃基基团,除非另有说明,否则该基团具有一个或多个双键。环烯基基团也可以是取代的或未取代的。例如,环烯基基团可以被一个或多个基团(包括本文针对烷基所述的那些基团)取代。
[0316]
除非另有说明,否则“环烷基”意指具有三至八个碳的一价饱和或不饱和的非芳族或芳族环状烃基基团,并且其示例为环丙基、环丁基、环戊基、环戊二烯基、环己基、环庚基、双环[2.2.1.]庚基等。环烷基基团也可以是取代的或未取代的。例如,环烷基基团可以被一个或多个基团(包括本文针对烷基所述的那些基团)取代。
[0317]“卤素”意指f、cl、br或i。
[0318]“卤代烷基”意指被一个或多个卤素取代的如本文所定义的烷基基团。
[0319]“杂烷基”意指如包含一个、两个、三个或四个非碳杂原子(例如,其独立地选自氮、氧、磷、硫、硒、或卤素)的如本文所定义的烷基基团。
[0320]“杂亚烷基”意指包含一个、两个、三个或四个非碳杂原子(例如,其独立地选自氮、氧、磷、硫、硒或卤素)的如本文所定义的亚烷基基团的二价形式。杂亚烷基基团可以是取代的或未取代的。例如,杂亚烷基基团可以被一个或多个取代基(如本文针对烷基所述的基团)取代。
[0321]“杂环基”意指3、4、5、6或7元环(例如,5、6或7元环),除非另有说明,否则该环包含一个、两个、三个或四个非碳杂原子(例如,其独立地选自氮、氧、磷、硫、硒或卤素)。3元环具有零到一个双键,4和5元环有零到两个双键,并且6和7元环有零到三个双键。术语“杂环基”还包括双环、三环和四环基团,其中任何上述杂环稠合到独立地选自芳环、环己烷环、环己烯环、环戊烷环、环戊烯环和另一个单环杂环(例如吲哚基、喹啉基、异喹啉基、四氢喹啉基、苯并呋喃基、苯并噻吩基等)的一个、两个或三个环。杂环包括吖啶基(acridinyl)、腺苷基(adenyl)、咯嗪基(alloxazinyl)、氮杂金刚烷基(azaadamantanyl)、氮杂苯并咪唑基(azabenzimidazolyl)、氮杂双环壬基(azabicyclononyl)、氮杂环庚基(azacycloheptyl)、氮杂环辛基(azacyclooctyl)、氮杂环壬基(azacyclononyl)、氮杂次黄嘌呤基(azahypoxanthinyl)、氮杂吲唑基(azaindazolyl)、氮杂吲哚基(azaindolyl)、阿吖啶基(azecinyl)、氮杂环庚烷基(azepanyl)、氮杂庚因基(azepinyl)、氮杂环丁烷基
(azetidinyl)、氮杂环丁二烯基(azetyl)、氮丙啶基(aziridinyl)、吖丙啶基(azirinyl)、氮杂环辛烷基(azocanyl)、吖辛因基(azocinyl)、氮杂环壬烷基(azonanyl)、苯并咪唑基(benzimidazolyl)、苯并异噻唑基(benzisothiazolyl)、苯并异噪唑基(benzisoxazolyl)、苯并二氮杂庚因基(benzodiazepinyl)、苯并二吖辛因基(benzodiazocinyl)、苯并二氢呋喃基(benzodihydrofuryl)、苯并二氧庚因基(benzodioxepinyl)、苯并二氧杂环己烯基(benzodioxinyl)、苯并二氧杂环己基(benzodioxanyl)、苯并二氧辛因基(benzodioxocinyl)、苯并二氧杂环戊烯基(benzodioxolyl)、苯并二噻庚因基(benzodithiepinyl)、苯并二硫杂环己二烯基(benzodithiinyl)、苯并二氧辛因基(benzodioxocinyl)、苯并呋喃基(benzofuranyl)、苯并吩嗪基(benzophenazinyl)、苯并吡喃酮基(benzopyranonyl)、苯并哌喃基(benzopyranyl)、苯并芘基(benzopyrenyl)、苯并吡喃酮基(benzopyronyl)、苯并喹啉基(benzoquinolinyl)、苯并喹嗪基(benzoquinolizinyl)、苯并硫二氮庚因基(benzothiadiazepinyl)、苯并噻二唑基(benzothiadiazolyl)、苯并硫氮庚因基(benzothiazepinyl)、苯并硫吖辛因基(benzothiazocinyl)、苯并噻唑基(benzothiazolyl)、苯并噻吩基(benzothienyl)、苯并苯硫基(benzothiophenyl)、苯并噻嗪酮基(benzothiazinonyl)、苯并噻嗪基(benzothiazinyl)、苯并噻喃基(benzothiopyranyl)、苯并噻喃酮基(benzothiopyronyl)、苯并三氮庚因基(benzotriazepinyl)、苯并三嗪酮基(benzotriazinonyl)、苯并三嗪基(benzotriazinyl)、苯并三唑基(benzotriazolyl)、苯并氧硫杂环己二烯基(benzoxathiinyl)、苯并三氧庚因基(benzotrioxepinyl)、苯并氧二氮庚因基(benzoxadiazepinyl)、苯并氧硫氮庚因基(benzoxathiazepinyl)、苯并氧硫庚因基(benzoxathiepinyl)、苯并氧硫辛因基(benzoxathiocinyl)、苯并氧氮庚因基(benzoxazepinyl)、苯并噁嗪基(benzoxazinyl)、苯并氧吖辛因基(benzoxazocinyl)、苯并噁唑啉酮基(benzoxazolinonyl)、苯并噁唑啉基(benzoxazolinyl)、苯并噁唑基(benzoxazolyl)、苄基磺胺基(benzylsultamyl)、苄基磺酰亚胺基(benzylsultimyl)、联吡嗪基(bipyrazinyl)、联吡啶基(bipyridinyl)、咔唑基(carbazolyl)(例如4h-咔唑基)、咔啉基(carbolinyl)(例如β-咔啉基)、色满酮基(chromanonyl)、色满烷基(chromanyl)、色烯基(chromenyl)、肉桂基(cinnolinyl)、香豆素基(coumarinyl)、胞苷基(cytdinyl)、胞嘧啶基(cytosinyl)、十氢异喹啉基(decahydroisoquinolinyl)、十氢喹啉基(decahydroquinolinyl)、二氮杂二环辛烷基(diazabicyclooctyl)、二氮杂环丁二稀基(diazetyl)、二氮丙啶亚硫酰基(diaziridinethionyl)、二氮丙啶酮基(diaziridinonyl)、二吖丙啶基(diaziridinyl)、二氮丙啶基(diazirinyl)、二苯异喹啉基(dibenzisoquinolinyl)、二苯并吖啶基(dibenzoacridinyl)、二苯并咔唑基(dibenzocarbazolyl)、二苯并呋喃基(dibenzofuranyl)、二苯并吩嗪基(dibenzophenazinyl)、二苯并吡喃酮基(dibenzopyranonyl)、二苯并吡喃酮基(dibenzopyronyl)(呫吨酮基/xanthonyl)、二苯并喹噁啉(dibenzoquinoxalinyl)、二苯并硫氮庚因基(dibenzothiazepinyl)、二苯并硫庚因基(dibenzothiepinyl)、二苯并苯硫基(dibenzothiophenyl)、二苯并氧庚因基(dibenzoxepinyl)、二氢氮庚因基(dihydroazepinyl)、二氢氮杂环丁二烯基(dihydroazetyl)、二氢呋喃基(dihydrofuranyl)、二氢呋喃基(dihydrofuryl)、二氢异喹啉基(dihydroisoquinolinyl)、
二氢吡喃基(dihydropyranyl)、二氢吡啶基(dihydropyridinyl)、二氢吡啶基(dihydroypyridyl)、二氢喹啉基(dihydroquinolinyl)、二氢噻吩基(dihydrothienyl)、二氢吲哚基(dihydroindolyl)、二氧杂环己基(dioxanyl)、二噁嗪基(dioxazinyl)、二氧吲哚基(dioxindolyl)、二环氧乙烷基(dioxiranyl)、二环氧乙烯基(dioxenyl)、二氧杂环己烯基(dioxinyl)、二氧苯并呋喃基(dioxobenzofuranyl)、二氧杂环戊烯基(dioxolyl)、二氧四氢呋喃基(dioxotetrahydrofuranyl)、二氧硫代吗啉基(dioxothiomorpholinyl)、二噻环己基(dithianyl)、二噻唑基(dithiazolyl)、二噻吩基(dithienyl)、硫杂环己二烯基(dithiinyl)、呋喃基(furanyl)、呋吖基(furazanyl)、呋喃甲酰基(furoyl)、呋喃基(furyl)、鸟嘌呤基(guaninyl)、均哌嗪基(homopiperazinyl)、均哌啶基(homopiperidinyl)、次黄嘌呤基(hypoxanthinyl)、乙内酰脲基(hydantoinyl)、咪唑啉啶基(imidazolidinyl)、咪唑啉基(imidazolinyl)、咪唑基(imidazolyl)、吲唑基(indazolyl)(例如1h-吲唑基)、吲哚烯基(indolenyl)、吲哚啉基(indolinyl)、吲哚嗪基(indolizinyl)、吲哚基(indolyl)(例如,1h-引哚基或3h-吲哚基)、靛红基(isatinyl)、靛红基(isatyl)、异苯并呋喃基(isobenzofuranyl)、异色基(isochromanyl)、异色烯基(isochromenyl)、异吲唑基(isoindazoyl)、异吲哚啉基(isoindolinyl)、异吲哚基(isoindolyl)、异吡唑酰基(isopyrazolonyl)、异吡唑基(isopyrazolyl)、异噁唑啶基(isoxazolidiniyl)、异噁唑基(isoxazolyl)、异喹啉基(isoquinolinyl)、异喹啉基(isoquinolinyl)、异噻唑啶基(isothiazolidinyl)、异噻唑基(isothiazolyl)、吗啉基(morpholinyl)、萘并吲唑基(naphthindazolyl)、萘并吲哚基(naphthindolyl)、二氮杂萘基(naphthiridinyl)、萘并吡喃基(naphthopyranyl)、萘并噻唑基(naphthothiazolyl)、萘并硫酮基(naphthothioxolyl)、萘并三唑基(naphthotriazolyl)、萘并氧代吲哚基(naphthoxindolyl)、萘啶基(naphthyridinyl)、八氢异喹啉基(octahydroisoquinolinyl)、氧杂双环庚烷基(oxabicycloheptyl)、氧杂脲嘧啶(oxauracil)、噁二唑基(oxadiazolyl)、噁嗪基(oxazinyl)、噁吖丙啶基(oxaziridinyl)、噁唑啶基(oxazolidinyl)、噁唑啶酮基(oxazolidonyl)、噁唑啉基(oxazolinyl)、噁唑酮基(oxazolonyl)、噁唑基(oxazolyl)、氧杂环庚烷基(oxepanyl)、氧杂环丁烷酮基(oxetanonyl)、氧杂环丁烷基(oxetanyl)、氧杂环丁烯基(oxetyl)、氧杂环丁烯基(oxtenayl)、氧吲哚基(oxindolyl)、环氧乙烷基(oxiranyl)、氧代苯并异噻唑基(oxobenzoisothiazolyl)、氧代色烯基(oxochromenyl)、氧代异喹啉基(oxoisoquinolinyl)、氧代喹啉基(oxoquinolinyl)、氧代硫杂环戊基(oxothiolanyl)、菲啶基(phenanthridinyl)、菲啉基(phenanthrolinyl)、菲嗪基(phenazinyl)、菲噻嗪基(phenothiazinyl)、菲噻吩基(phenothienyl)(苯并硫代呋喃基/benzothiofuranyl)、菲噁噻基(phenoxathiinyl)、菲噁嗪基(phenoxazinyl)、酞嗪基(phthalazinyl)、酞嗪酮基(phthalazonyl)、酞基(phthalidyl)、苯并吡咯烷酮基(phthalimidinyl)、哌嗪基(piperazinyl)、哌啶基(piperidinyl)、哌啶酮基(piperidonyl)(例如,4-哌啶酮基)、蝶啶基(pteridinyl)、嘌呤基(purinyl)、哌喃基(pyranyl)、吡嗪基(pyrazinyl)、吡唑啶基(pyrazolidinyl)、吡唑啉基(pyrazolinyl)、吡唑并嘧啶基(pyrazolopyrimidinyl)、吡唑基(pyrazolyl)、哒嗪基(pyridazinyl)、吡啶基(pyridinyl)、吡啶并吡嗪基(pyridopyrazinyl)、吡啶并嘧啶基(pyridopyrimidinyl)、吡啶基(pyridyl)、嘧啶基
(pyrimidinyl)、嘧啶基(pyrimidyl)、吡喃酮基(pyronyl)、吡咯烷基(pyrrolidinyl)、吡咯烷酮基(pyrrolidonyl)(例如,2-吡咯烷酮基)、吡咯啉基(pyrrolinyl)、吡咯嗪烷基(pyrrolizidinyl)、吡咯基(pyrrolyl)(例如,2h-吡咯基)、吡喃鎓(pyrylium)、喹唑啉基(quinazolinyl)、喹啉基(quinolinyl)、喹嗪基(quinolizinyl)(例如,4h-喹嗪基)、喹喔啉基(quinoxalinyl)、喹啉环基(quinuclidinyl)、硒杂吖嗪基(selenazinyl)、硒杂唑基(selenazolyl)、硒吩基(selenophenyl)、琥珀酰亚胺基(succinimidyl)、环丁砜基(sulfolanyl)、四氢呋喃基(tetrahydrofuranyl)、四氢呋喃基(tetrahydrofuryl)、四氢异喹啉基(tetrahydroisoquinolinyl)、四氢异喹啉基(tetrahydroisoquinolyl)、四氢吡啶基(tetrahydropyridinyl)、四氢吡啶基(tetrahydropyridyl)(哌啶基(piperidyl))、四氢哌喃基(tetrahydropyranyl)、四氢吡喃酮基(tetrahydropyronyl)、四氢喹啉基(tetrahydroquinolinyl)、四氢喹啉基(tetrahydroquinolyl)、四氢噻吩基(tetrahydrothienyl)、四氢苯硫基(tetrahydrothiophenyl)、四嗪基(tetrazinyl)、四唑基(tetrazolyl)、噻二嗪基(thiadiazinyl)(例如,6h-1,2,5-噻二嗪基或2h,6h-1,5,2-二噻二嗪基)、噻二唑基(thiadiazolyl)、噻嗯基(thianthrenyl)、噻环己基(thianyl)、硫茚基(thianaphthenyl)、硫氮庚因基(thiazepinyl)、噻嗪基(thiazinyl)、噻唑烷二酮基(thiazolidinedionyl)、噻唑啶基(thiazolidinyl)、噻唑基(thiazolyl)、噻吩基(thienyl)、硫杂环庚烷基(thiepanyl)、硫杂庚因基(thiepinyl)、硫杂环丁烷基(thietanyl)、硫杂环丁烯基(thietyl)、硫杂环丙基(thiiranyl)、硫杂环辛烷基(thiocanyl)、硫代色满酮基(thiochromanonyl)、硫代色基(thiochromanyl)、硫代色烯基(thiochromenyl)、硫代二嗪基(thiodiazinyl)、噻二唑基(thiodiazolyl)、硫代-3-吲哚酚(thioindoxyl)、硫代吗啉基(thiomorpholinyl)、苯硫基(thiophenyl)、噻喃基(thiopyranyl)、硫代吡喃酮基(thiopyronyl)、硫代三唑基(thiotriazolyl)、硫代脲唑基(thiourazolyl)、硫氧杂环己基(thioxanyl)、硫氧杂环戊烯基(thioxolyl)、胸嘧啶基(thymidinyl)、胸苷基(thyminyl)、三嗪基(triazinyl)、三唑基(triazolyl)、三噻环己基(trithianyl)、脲嗪基(urazinyl)、脲唑基(urazolyl)、尿嘧啶基(uretidinyl)、脲啶基(uretinyl)、脲嘧啶基(uricyl)、脲苷基(uridinyl)、呫吨基(xanthenyl)、黄嘌呤基(xanthinyl)、黄硫酮基(xanthionyl)等,以及其修饰形式(例如,包括一或更多个氧代基和/或氨基)及其盐类。杂环基基团可以是取代的或未取代的。例如,杂环基基团可以被一个或多个取代基(如本文针对烷基所述的基团)取代。
[0322]“烃基”意指通过从烃中除去氢原子而形成的单价基团。非限制性未取代烃基基团包括如本文定义的烷基、烯基、炔基和芳基,其中这些基团仅包括碳和氢原子。烃基基团可以是取代的或未取代的。例如,烃基基团可以被一个或多个取代基(如本文针对烷基所述的基团)取代。在其他实施方案中,本文中的任何烷基或芳基基团可被如本文所定义的烃基基团取代。
[0323]“羟基”意指指-oh。
[0324]“羟烷基”意指被一到三个羟基基团取代的如本文所定义的烷基基团,前提条件是不超过一个羟基基团可连接至该烷基基团的单个碳原子,并且其示例为羟甲基、二羟丙基等。
[0325]“羟基芳基”意指被一到三个羟基基团取代的如本文所定义的芳基基团,前提条件
是不超过一个羟基基团可以连接至该芳基基团的单个碳原子,并且其示例为羟苯基、二羟苯基等。
[0326]“异氰酸基”意指-nco。
[0327]“氧化基”意指-o-基团。
[0328]“氧代”意指=o基团。
[0329]“膦”意指具有烃基部分的三价或四价磷。在一些实施方案中,膦是-pr
p3
基团,其中每个r
p
独立地是h、任选取代的烷基或任选取代的芳基。膦基团可以是取代的或未取代的。例如,膦基团可以被一个或多个取代基(如本文针对烷基所述的基团)取代。
[0330]“硒醇”意指-seh基团。
[0331]“碲醇”意指-teh基团。
[0332]“硫代异氰酸基”意指-ncs。
[0333]“硫醇”意指-sh基团。
[0334]
如本文所用,术语“顶部”、“底部”、“上部”、“下部”、“上方”和“下方”用于提供结构之间的相对关系。这些术语的使用并不表示或要求特定结构必须位于设备中的特定位置。结论
[0335]
公开了通过以下方式调节衬底化学性质的方法及设备:结合高吸收euv材料和/或控制金属氧化物光致抗蚀剂的表面粘附以例如在euv图案化背景下形成图案化掩模。
[0336]
应当理解,本文描述的示例和实施方案仅用于说明目的,并且本领域技术人员将根据其提出各种修改或改变。尽管为了清楚起见省略了各种细节,但可以实现各种设计替代方案。因此,本示例被认为是说明性的而非限制性的,并且本公开不限于本文给出的细节,而是可以在本公开的范围内进行修改。
[0337]
提供以下示例权利要求用于进一步说明本公开的某些实施方案。本公开不必限于这些实施方案。
再多了解一些

本文用于企业家、创业者技术爱好者查询,结果仅供参考。

发表评论 共有条评论
用户名: 密码:
验证码: 匿名发表

相关文献