一种残膜回收机防缠绕挑膜装置的制 一种秧草收获机用电力驱动行走机构

半导体器件及其形成方法与流程

2021-11-20 01:01:00 来源:中国专利 TAG:


1.本技术的实施例涉及半导体器件及其形成方法。


背景技术:

2.半导体集成电路(ic)工业经历了快速增长。ic材料和设计的技术进步产生了多代ic,其中,每一代都具有比先前一代更小且更复杂的电路。在ic演进过程中,随着几何尺寸(即,可使用制造工艺创建的最小组件(或线))的减小,功能密度(即,单位芯片面积中的互连器件的数量)通常在增加。这种规模缩小工艺通常通过增加产量效率和降低相关成本来提供很多益处。这种按比例缩小工艺也增大了加工和制造ic的复杂度。
3.例如,随着集成电路(ic)技术朝着更小的技术节点发展,已经引入了多栅极器件,以通过增加栅极

沟道耦合、减小截止状态电流和减小短沟道效应(sce)来改善栅极控制。多栅极器件通常是指具有栅极结构或其一部分设置在沟道区域的多于一侧上方的器件。鳍式场效应晶体管(finfet)和多桥沟道(mbc)晶体管是多栅极器件的示例,这些器件已成为高性能和低泄漏应用的流行和有希望的候选者。finfet的升高的沟道在多于一侧上被栅极围绕(例如,栅极围绕从衬底延伸的半导体材料“鳍”的顶部和侧壁)。mbc晶体管的栅极结构可以部分或全部围绕沟道区域延伸,以提供对两侧或更多侧沟道区域的访问。由于mbc晶体管的栅极结构围绕沟道区域,所以mbc晶体管也可以称为环绕栅极晶体管(sgt)或全环栅(gaa)晶体管。mbc晶体管的沟道区域可以由纳米线、纳米片、其他纳米结构和/或其他合适的结构形成。
4.互补金属氧化物半导体场效应晶体管(cmosfet或cfet)由于其高抗噪性和低静态功耗而在半导体工业中占主导地位。尽管现有的cfet结构通常足以满足其预期目的,但不是在所有方面都令人满意。


技术实现要素:

5.在一些实施例中,一种半导体器件,包括:第一晶体管,包括:彼此竖直堆叠的多个沟道构件,和邻接所述多个沟道构件的第一源极/漏极部件;第二晶体管,设置在所述第一晶体管上方,包括:鳍结构,和邻接所述鳍结构的第二源极/漏极部件;以及导电部件,电连接所述第一源极/漏极部件和所述第二源极/漏极部件。
6.在一些实施例中,一种半导体器件,包括:第一晶体管,包括:第一源极部件和第一漏极部件,和彼此竖直堆叠并且在所述第一源极部件和所述第一漏极部件之间延伸的多个沟道构件;以及第二晶体管,设置在所述第一晶体管上方,包括:第二源极部件和第二漏极部件,和在所述第二源极部件和所述第二漏极部件之间延伸的鳍结构,其中,所述第二源极部件直接位于所述第一源极部件上方,其中,所述第二漏极部件直接位于所述第一漏极部件上方。
7.在一些实施例中,一种形成半导体器件的方法,包括:在第一衬底上形成第一晶体管,其中,所述第一晶体管包括:第一源极部件和第一漏极部件,彼此竖直堆叠并且在所述
第一源极部件和所述第一漏极部件之间延伸的多个沟道构件,和包围在所述多个沟道构件中的每一个周围的第一栅极结构;在所述第一晶体管上方沉积第一钝化层;在第二衬底上方形成外延层;在所述外延层上方沉积第二钝化层;将所述第二钝化层接合至所述第一钝化层;接合后去除所述第二衬底;图案化所述外延层以在所述多个沟道构件上方形成鳍结构;以及形成第二栅极结构以包围在所述鳍结构上方,其中,所述第二栅极结构与所述第一栅极结构接触。
8.本技术的实施例提供了竖直定向的互补晶体管。
附图说明
9.当结合附图进行阅读时,从以下详细描述可更好地理解本发明。应该强调,根据工业中的标准实践,各个部件未按比例绘制并且仅仅用于说明的目的。实际上,为了清楚的讨论,各个部件的尺寸可以任意地增大或减小。
10.图1示出根据本公开的一个或多个方面的用于形成具有竖直定向的互补晶体管的半导体器件的方法的流程图。
11.图2

图39示出根据本公开的一个或多个方面的在根据图1的方法的制造工艺期间的工件的局部截面图。
12.图40示出根据本公开的一个或多个方面的半导体器件的替代实施例。
具体实施方式
13.以下公开内容提供了许多不同实施例或实例,用于实现所提供主题的不同特征。以下将描述组件和布置的具体实例以简化本发明。当然,这些仅是实例并且不意欲限制本发明。例如,在以下描述中,在第二部件上方或上形成第一部件可以包括第一部件和第二部件直接接触的实施例,也可以包括形成在第一部件和第二部件之间的附加部件使得第一部件和第二部件不直接接触的实施例。而且,本发明在各个实例中可以重复参考数字和/或字母。这种重复仅是为了简明和清楚,其自身并不表示所论述的各个实施例和/或配置之间的关系。
14.为了便于描述,本文中可以使用诸如“在...下方”、“在...下面”、“下部”、“在...上面”、“上部”等的空间关系术语,以描述如图中所示的一个元件或部件与另一元件或部件的关系。空间相对术语旨在包括除了附图中所示的方位之外,在使用中或操作中的器件的不同方位。装置可以以其他方式定位(旋转90度或在其他方位),并且在本文中使用的空间关系描述符可以同样地作相应地解释。
15.此外,当用“约”、“近似”等描述数值或数值的范围时,该词语旨在涵盖在合理范围内的数字,考虑到如本领域普通技术人员所理解的在制造期间固有地产生的变化。例如,基于与制造具有与数值相关联的特征的部件相关联的已知制造公差,数值或数值的范围涵盖包括所述数值的合理范围,诸如在所述数值的 /

10%以内。例如,厚度为“约5nm”的材料层可以涵盖4.25nm至5.75nm的尺寸范围,其中本领域普通技术人员已知与沉积材料层相关的制造公差为 /

15%。另外,本发明可以在各个实例中重复附图标号和/或字母。这种重复仅是为了简明和清楚,其自身并不表示所论述的各个实施例和/或配置之间的关系。
16.互补金属氧化物半导体场效应晶体管(cmosfet或cfet)由于其高抗噪性和低静态
功耗而在半导体工业中占主导地位。常规cfet包括并排设置在同一衬底上的n型fet(nfet)和p型fet(pfet),并且nfet和pfet共享相同的结构。例如,在一些常规设计中,nfet和pfet都是平面器件,都是finfet,或者都是mbc晶体管。随着针对先进技术节点,器件尺寸不断缩小,至少出现了两个挑战。首先,共面cfet的占用面积比nfet或pfet大。其次,pfet中的空穴迁移率继续落后于nfet中的电子迁移率。
17.本公开提供了竖直定向的混合cfet的工艺和结构,以解决上述两个挑战。通过竖直定向,根据本公开的cfet包括底部晶体管和设置在底部晶体管上方的顶部晶体管。在一些情况下,底部晶体管是p型晶体管,顶部晶体管是n型晶体管。在其他情况下,底部晶体管是n型晶体管,顶部晶体管是p型晶体管。通过混合,根据本公开的cfet包括p型finfet(p

finfet)和n型mbc(n

mbc)晶体管。因此,本公开的cfet包括作为底部晶体管的p

finfet和作为顶部晶体管的n

mbc晶体管,反之亦然。在一些实施例中,顶部晶体管的源极部件和漏极部件与底部晶体管的源极部件和漏极部件基本竖直对准。这种竖直对准使得能够通过导电部件将底部器件的源极/漏极部件耦合到顶部器件的源极/漏极部件。在一些实施例中,导电部件可以竖直延伸到源极部件和漏极部件中以进行耦合。背侧源极接触件和背侧电源轨也可以与本公开的cfet集成。
18.现在将参考附图更详细地描述本公开的各个方面。在这方面,图1是示出根据本公开的实施例的由工件形成半导体器件的方法100的流程图。方法100仅是示例,并且不旨在将本公开限制为在方法100中明确示出的内容。可在方法100之前、期间和之后提供附加步骤,并且对于方法的附加实施例,可将描述的一些步骤替换、消除或转移。为了简单起见,本文没有详细描述所有步骤。下面结合图2

图39描述方法100,其是根据方法100的实施例的工件200在制造的不同阶段的局部截面图。为避免疑问,在所有附图中,x方向垂直于y方向,z方向垂直于x方向和y方向。应当注意,因为可以将工件200制造成半导体器件,所以根据上下文需要,可以将工件200称为半导体器件200。在整个本公开中,本公开中相似的附图标记表示相似的部件。
19.参考图1和图2,方法100包括框102,其中提供工件200。工件200可以包括第一衬底202。在一个实施例中,第一衬底202可以是硅(si)衬底。在一些其他实施例中,第一衬底202可以包括其他半导体,诸如锗(ge)、硅锗(sige)或iii

v族半导体材料。示例性iii

v族半导体材料可以包括砷化镓(gaas)、磷化铟(inp)、磷化镓(gap)、氮化镓(gan)、磷砷化镓(gaasp)、砷化铝铟(alinas)、砷化铝镓(algaas)、磷化铟镓镓(gainp)和砷化铟镓(ingaas)。
20.如图2所示,工件200还包括设置在衬底202上的堆叠件204。堆叠件204包括由多个牺牲层206交错的多个沟道层208。沟道层208和牺牲层206可以具有不同的半导体组成。在一个实施例中,沟道层208由硅(si)形成,而牺牲层206由硅锗(sige)形成。在这些实施方式中,牺牲层206中的附加锗含量允许牺牲层206的选择性去除或开槽而不会对沟道层208造成实质性损害。在一些替代实施例中,沟道层208可以包括锗(ge)、硅锗(sige)或二维(2d)材料,诸如二硫化钼(mos2)、二硒化钨(wse2)或二碲化铪(hfte2)。在沟道层208和牺牲层206由硅锗(sige)形成的实施例中,沟道层208具有比牺牲层206小的锗含量,以允许牺牲层206的选择性开槽/去除。牺牲层206和沟道层208是外延层,并且可以使用外延工艺沉积。合适的外延工艺包括气相外延(vpe)、超高真空化学气相沉积(uhv

cvd)、分子束外延(mbe)和/
或其他合适的工艺。如图2所示,牺牲层206和沟道层208一个接一个地交替沉积,以形成堆叠件204。应当注意,如图2所示,三(3)层牺牲层206和三(3)层沟道层208交替地竖直布置,这仅是出于说明的目的,并不旨在限制权利要求中具体记载的内容。可以理解,可以在堆叠件204中形成任何数量的牺牲层206和沟道层208。层的数量取决于器件200的沟道构件的期望数量。在一些实施例中,沟道层208的数量在2和10之间。
21.参考图1和图3,方法100包括框104,其中由堆叠件204形成鳍状结构210。在一些实施例中,将堆叠件204和第一衬底202的一部分图案化以形成鳍状结构210。为了图案化的目的,可以在堆叠件204上方沉积硬掩模层。硬掩模层可以是单层或多层。在一个示例中,硬掩模层包括氧化硅层和氧化硅层上方的氮化硅层。如图3所示,鳍状结构210从第一衬底202沿z方向竖直延伸,并沿y方向纵向延伸。鳍状结构210可以包括由第一衬底202形成的基部210b和由堆叠件204形成的堆叠部210sp。可以使用包括双图案化或多图案化工艺的合适的工艺来图案化鳍状结构210。通常,双图案化或多图案化工艺将光刻和自对准工艺组合,从而允许创建具有例如间距小于使用单一、直接光刻工艺可获得的间距的图案。例如,在一个实施例中,在衬底上方形成材料层,并使用光刻工艺对其进行图案化。使用自对准工艺在图案化的材料层旁边形成间隔件。然后去除材料层,然后可以通过蚀刻堆叠件204和第一衬底202来使用剩余的间隔件或心轴来图案化鳍状结构210。蚀刻工艺可以包括干蚀刻、湿蚀刻、反应离子蚀刻(rie)和/或其他适合的工艺。
22.参考图1、图4和图5,方法100包括框106,其中在鳍状结构210的沟道区域上方形成第一伪栅极堆叠件214。在图4所示的一些实施例中,在形成鳍状结构210之后,形成隔离部件212以围绕基部210b。隔离部件212也可以称为浅沟槽隔离(sti)部件212。在示例性工艺中,使用cvd、亚大气压cvd(sacvd)、可流动cvd、原子层沉积(ald)、物理气相沉积(pvd)、旋涂和/或其他合适的工艺将用于隔离部件212的介电材料沉积在鳍状结构210上方。然后,将沉积的介电材料平坦化并开槽,直到鳍状结构210的堆叠部210sp至少上升到隔离部件212上方。也就是说,在隔离部件212开槽之后,鳍状结构210的基部210b被隔离部件212围绕。用于隔离部件212的介电材料可以包括氧化硅、氮氧化硅、掺氟硅酸盐玻璃(fsg)、低k电介质、其组合和/或其他合适的材料。
23.在采用栅极替换工艺(或后栅极工艺)的一些实施例中,第一伪栅极堆叠件214形成在鳍状结构210上方,用作功能栅极结构的占位件。其他工艺和配置也是可能的。为了形成第一伪栅极堆叠件214,在工件200上方沉积伪介电层216、伪栅电极层218和栅极顶部硬掩模层(未示出)。这些层的沉积可以包括使用低压cvd(lpcvd)、cvd、等离子体增强cvd(pecvd)、pvd、ald、热氧化、电子束蒸发或其他合适的沉积技术或其组合。伪介电层216可以包括氧化硅,伪栅电极层218可以包括多晶硅,并且栅极顶部硬掩模层可以是包括氧化硅和氮化硅的多层。使用光刻和蚀刻工艺,可以对栅极顶部硬掩模层进行图案化。光刻工艺可以包括光刻胶涂覆(例如,旋涂)、软烘烤、掩模对准、曝光、曝光后烘烤、光刻胶显影、冲洗、干燥(例如,旋干和/或硬烘烤)、其他合适的光刻技术和/或其组合。蚀刻工艺可以包括干蚀刻(例如,rie蚀刻)、湿蚀刻和/或其他的蚀刻方法。之后,使用图案化的栅极顶部硬掩模作为蚀刻掩模,然后蚀刻伪介电层216和伪栅电极层218,以形成第一伪栅极堆叠件214。如图4所示,第一伪栅极堆叠件214形成在隔离部件212和鳍状结构210的一部分上方。第一伪栅极堆叠件214沿x方向纵向延伸以包围在鳍状结构210上方。参考图5,,第一伪栅极堆叠件214下
面的鳍状结构210的部分是第一沟道区域210c。第一沟道区域210c和第一伪栅极堆叠件214还限定未与第一伪栅极堆叠件214竖直地重叠的第一源极区域210s和第一漏极区域210d。第一沟道区域210c沿y方向设置或夹在第一源极区域210s和第一漏极区域210d之间。
24.如图5中代表性地示出的,框106处的操作可以包括在第一伪栅极堆叠件214的侧壁上方形成第一栅极间隔件层220。在一些实施例中,第一栅极间隔件层220的形成包括在工件200上方共形沉积一个或多个介电层。在示例性工艺中,使用cvd、sacvd或ald沉积一个或多个介电层。一个或多个介电层可以包括氧化硅、氮化硅、碳化硅、氮氧化硅、碳氮化硅、碳氧化硅、碳氮氧化硅和/或它们的组合。
25.参考图1和图5,方法100包括框108,其中鳍状结构210的第一源极区域210s和第一漏极区域210d被开槽以形成第一源极凹槽222s和第一漏极凹槽222d。在示例性工艺中,在沉积第一栅极间隔件层220之后,以选择性地使鳍状结构210的第一源极区域210s和第一漏极区域210d开槽的蚀刻工艺来蚀刻工件200。第一源极区域210s和第一漏极区域210d的选择性开槽获得第一源极凹槽222s和第一漏极凹槽222d。框108处的蚀刻工艺可以是干蚀刻工艺或合适的蚀刻工艺。示例性干蚀刻工艺可以实施含氧气体、氢气、含氟气体(例如,cf4、sf6、ch2f2、chf3和/或c2f6)、含氯气体(例如,cl2、chcl3、ccl4和/或bcl3)、含溴气体(例如,hbr和/或chbr3)、含碘气体、其他合适的气体和/或等离子体和/或它们的组合。如图5所示,第一沟道区域210c中的牺牲层206和沟道层208的侧壁在第一源极凹槽222s和第一漏极凹槽222d中暴露。
26.参考图1、图6和图7,方法100包括框110,其中形成内部间隔件部件226。首先参考图6。在框110处,选择性地且部分地开槽暴露在第一源极凹槽222s和第一漏极凹槽222d中的牺牲层206,以形成内部间隔件凹槽224,而暴露的沟道层208基本上未被蚀刻。在沟道层208主要由硅(si)组成并且牺牲层206主要由硅锗(sige)组成的实施例中,牺牲层206的选择性和部分的开槽可以包括sige氧化工艺以及之后的sige氧化物去除。在该实施例中,sige氧化工艺可以包括使用臭氧(o3)。在一些其他实施例中,选择性开槽可以是选择性各向同性蚀刻工艺(例如,选择性干蚀刻工艺或选择性湿蚀刻工艺),并且牺牲层206被开槽的程度由蚀刻工艺的持续时间控制。选择性干蚀刻工艺可以包括使用一种或多种基于氟的蚀刻剂,诸如氟气或氢氟烃。选择性湿蚀刻工艺可以包括apm蚀刻(例如,氢氧化氨

过氧化氢

水的混合物)。
27.现在参考图7。在形成内部间隔件凹槽224之后,将内部间隔件材料层沉积在工件200上方,包括在内部间隔件凹槽224中。内部间隔件材料层可以包括氧化硅、氮化硅、碳氧化硅、碳氮氧化硅、碳氮化硅、金属氮化物或合适的介电材料。然后回蚀刻沉积的内部间隔件材料层,以去除第一栅极间隔件层220和沟道层208的侧壁上方的多余的内部间隔件材料层,从而形成如图7所示的内部间隔件部件226。在一些实施例中,框110处的回蚀刻工艺可以是干蚀刻工艺,其包括使用含氧气体、氢气、氮气、含氟气体(例如,cf4、sf6、ch2f2、chf3和/或c2f6)、含氯气体(例如,cl2、chcl3、ccl4和/或bcl3)、含溴气体(例如,hbr和/或chbr3)、含碘气体(例如,cf3i)、其他合适的气体和/或等离子体和/或它们的组合。
28.参考图1和图8,方法100包括框112,其中在第一源极凹槽222s和第一漏极凹槽222d中形成第一源极部件228s和第一漏极部件228d。在一些实施例中,可以使用诸如vpe、uhv

cvd、mbe和/或其他合适的工艺的外延工艺来形成第一源极部件228s和第一漏极部件
228d。外延生长工艺可以使用气体和/或液体前体,其与衬底202以及沟道层208的成分相互作用。因此,第一源极部件228s和第一漏极部件228d耦合至沟道层。在一些实施例中,第一源极部件228s和第一漏极部件228d可以是n型源极/漏极部件。示例性n型源极/漏极部件可以包括si、gaas、gaasp、sip或其他合适的材料,并且可以在外延工艺期间通过引入n型掺杂剂(诸如,磷(p)、砷(as))进行原位掺杂或使用注入工艺(即,结注入工艺)进行异位掺杂。在一个实施例中,第一源极部件228s和第一漏极部件228d包括掺杂磷的硅(si:p)。
29.参考图1、图9、图10和图11,方法100包括框114,其中用第一栅极结构240代替第一伪栅极堆叠件214。框114处的操作包括沉积第一接触蚀刻停止层(cesl)230(图9所示)、沉积第一层间介电(ild)层232(图9所示)、去除第一伪栅极堆叠件214(图10所示)、选择性去除牺牲层206以释放沟道层208作为沟道构件2080(图10所示)、形成第一栅极结构240(图10所示)以及平坦化工件200以去除多余的材料。第一cesl 230可以包括氮化硅、氮氧化硅和/或本领域中已知的其他材料,并且可以通过ald、等离子体增强化学气相沉积(pecvd)工艺和/或其他合适的沉积或氧化工艺来形成。如图9所示,第一cesl 230可以沉积在第一源极部件228s和第一漏极部件228d的顶面上。此后,在第一cesl 230上方沉积第一ild层232。第一ild层232可以包括诸如原硅酸四乙酯(teos)氧化物、未掺杂的硅酸盐玻璃或掺杂的氧化硅(诸如硼磷硅硅酸盐玻璃(bpsg)、熔融石英玻璃(fsg)、磷硅酸盐玻璃(psg)、硼掺杂的硅玻璃(bsg))和/或其他合适的介电材料的材料。可以通过pecvd工艺或其他合适的沉积技术来沉积第一ild层232。在一些实施例中,在形成第一ild层232之后,可以对工件200进行退火以改善第一ild层232的完整性。为了去除多余的材料并暴露第一伪栅极堆叠件214的顶面,可以执行平坦化工艺,诸如化学机械抛光(cmp)工艺。
30.在一些实施方式中,每个沟道构件2080具有的宽度(沿x方向)大于其厚度(沿z方向),并且可以被称为纳米片。在一些实施例中,沟道构件2080的宽度可以在约8nm和约60nm之间,并且沟道构件2080的厚度可以在约3nm和约9nm之间。关于每个沟道构件2080,主表面是顶面和底面。当第一衬底202由硅形成并且在(100)表面上具有顶面时,沟道构件2080的主表面也在(100)表面上,这提供了优于其他表面的电子迁移率。
31.参考图10。随着第一伪栅极堆叠件214的暴露,框114进行到第一伪栅极堆叠件214的去除。第一伪栅极堆叠件214的去除可以包括一个或多个蚀刻工艺,其对第一伪栅极堆叠件214中的材料具有选择性。例如,可以使用选择性湿蚀刻、选择性干蚀刻或其组合来执行第一伪栅极堆叠件214的去除。在去除第一伪栅极堆叠件214之后,暴露第一沟道区域210c中的沟道层208和牺牲层206的侧壁。此后,选择性地去除第一沟道区域210c中的牺牲层206以释放作为沟道构件2080的沟道层208。这里,因为沟道构件2080的尺寸是纳米级的,所以沟道构件也可以被称为纳米结构。牺牲层206的选择性去除可以通过选择性干蚀刻、选择性湿蚀刻或其他选择性蚀刻工艺来实现。在一些实施例中,选择性湿蚀刻包括apm蚀刻(例如,氢氧化铵

过氧化氢

水的混合物)。在一些实施例中,选择性去除包括sige氧化以及之后的硅锗氧化物去除。例如,可以通过臭氧清洁来提供氧化,然后通过诸如nh4oh的蚀刻剂去除氧化硅锗。
32.然后参考图11。在释放沟道构件2080的情况下,沉积第一栅极结构240以包围在第一沟道区域210c中的每个沟道构件2080周围。第一栅结构240包括第一栅介电层236和第一栅电极层238。第一栅极介电层236可以包括界面层和高k介电层。在此,高k介电层是指由介
电常数大于二氧化硅的介电常数(约3.9)的介电材料形成的层。在一些实施例中,界面层包括氧化硅并且可以在预清洁工艺中形成。示例性预清洁工艺可以包括使用rca sc

1(氨、过氧化氢和水)和/或rca sc

2(盐酸、过氧化氢和水)。然后使用ald、cvd和/或其他合适的方法将高k介电层沉积在界面层上方。高k介电层可以包括氧化铪。替代地,高k介电层可以包括其他高k电介质,诸如氧化钛(tio2)、氧化锆铪(hfzro)、氧化钽(ta2o5)、氧化硅铪(hfsio4)、氧化锆(zro2)、氧化锆硅(zrsio2)、氧化镧(la2o3)、氧化铝(al2o3)、氧化锆(zro)、氧化钇(y2o3)、srtio3(sto)、batio3(bto)、bazro、氧化镧铪(hflao)、氧化镧硅(lasio)、氧化铝硅(alsio)、氧化钽铪(hftao)、氧化钛铪(hftio)、(ba,sr)tio3(bst)、氮化硅(sin)、氮氧化硅(sion)、它们的组合或其他合适的材料。
33.仍然参考图11,然后使用ald、pvd、cvd、电子束蒸发或其他合适的方法在第一栅极介电层236上方沉积第一栅电极层238。第一栅电极层238可以包括单层或替代的多层结构,诸如具有增强器件性能的所选功函数的金属层(功函数金属层)、衬层、湿润层、粘合层、金属合金或金属硅化物的各种组合。举例来说,第一栅电极层238可以包括氮化钛(tin)、钛铝(tial)、氮化钛铝(tialn)、氮化钽(tan)、钽铝(taal)、氮化钽铝(taaln)、碳化钽铝(taalc)、碳氮化钽(tacn)、铝(al)、钨(w)、镍(ni)、钛(ti)、钌(ru)、钴(co)、铂(pt)、碳化钽(tac)、氮化钽硅(tasin)、铜(cu)、其他难熔金属或其他合适的金属材料或其组合。
34.参考图1、图12和图13,方法100包括框116,其中形成下部漏极接触件244。在图12所示的示例性工艺中,光刻工艺用于形成暴露第一漏极部件228d的接触件开口。为了减小接触电阻,可以通过在第一漏极部件228d上方沉积金属层并执行退火工艺以在金属层和第一漏极部件228d之间引起硅化来在第一漏极部件228d上形成硅化物层242。合适的金属层可以包括钛(ti)、钽(ta)、镍(ni)、钴(co)或钨(w)。硅化物层242可以包括硅化钛(tisi)、氮化钛硅(tisin)、硅化钽(tasi)、硅化钨(wsi)、硅化钴(cosi)或硅化镍(nisi)。在形成硅化物层242之后,可以将金属填充层沉积到接触件开口中。金属填充层可以包括氮化钛(tin)、钛(ti)、钌(ru)、镍(ni)、钴(co)、铜(cu)、钼(mo)、钨(w)、钽(ta)或氮化钽(tan)。可以接着进行平坦化工艺以去除多余的材料,从而形成下部漏极接触件244。由于平坦化工艺,所以下部漏极接触件244、第一cesl 230和第一ild层232的顶面共面。
35.在图13所示的一些实施例中,下部漏极接触件244沿x方向突出在第一漏极部件228d上方。在这些实施例中,下部漏极接触件244包括第一突出部2440,其在第一漏极部件228d上突出约2nm和约20nm。也就是说,第一突出部2440没有直接或通过硅化物层242间接设置在第一漏极部件228d上。
36.框116处的操作是可选的,并且可以完全省略。如以下将描述的,在导电部件延伸到第一漏极部件228d中以将第一漏极部件228d耦合到上方的另一漏极部件的一些实施例中,下部漏极接触件244可以是不需要的并且可以被省略。
37.参考图1、图14和图15,方法100包括框118,其中外延层250接合到工件200上方。框118处的操作包括在第一栅极结构240上方沉积第一钝化层246(图14所示)、在第二衬底251上设置外延层250(图15所示)、在外延层250上方沉积第二钝化层248(图15所示)以及将第二钝化层248接合到第一钝化层246上(图15所示)。参考图14,在框118处,第一钝化层246毯式沉积在工件200上方。在一个实施例中,第一钝化层246包括氧化硅。在一些替代实施例中,第一钝化层246可以包括氮化硅、碳氮化硅、碳氮氧化硅、氧化铝或氧化铪。如图14所示,
第一钝化层246可以设置在第一cesl 230、第一ild层232、第一栅极结构240和第一栅极间隔件层220上。参考图15,第二衬底251可以类似于第一衬底202,并且为了简洁起见省略其详细描述。在一个实施例中,第一衬底202和第二衬底251都是具有在(100)晶体表面上的顶面的硅衬底。使用气相外延(vpe)、超高真空化学气相沉积(uhv

cvd)、分子束外延(mbe)和/或其他合适的工艺将外延层250外延沉积在第二衬底251上。外延层250由适合用作p型器件的沟道的半导体材料形成。在一个实施例中,外延层250可以包括锗含量在约15%和约60%之间的硅锗(sige)。在一些替代实施例中,外延层250可以包括锗(ge)、硅锗(sige)或二维(2d)材料,诸如二硫化钼(mos2)、二硒化钨(wse2)或二碲化铪(hfte2)。然后,将第二钝化层248沉积在外延层250上。在一个实施例中,第二钝化层248包括氧化硅。在一些替代实施例中,第二钝化层248可以包括氮化硅、碳氮化硅、碳氮氧化硅、氧化铝或氧化铪。
38.通过第一钝化层246和第二钝化层248之间的直接接合或熔融接合将外延层250接合至工件200。在示例性直接接合工艺中,第一钝化层246和第二钝化层248都使用rca sc

1(氨、过氧化氢和水)和/或rca sc

2(盐酸、过氧化氢和水)进行清洁。然后将清洁的第一钝化层246和第二钝化层248在室温下配接并压合在一起。可以通过退火工艺来加强直接接合。尽管在图15中未明确示出,但是在将第一钝化层246和第二钝化层248接合在一起之后,去除第二衬底251以在顶面上暴露外延层250。此时,外延层250和第二钝化层248成为工件200的一部分。
39.参考图1和图16,方法100包括框120,其中从外延层250形成鳍元件252。在去除第二衬底251的情况下,将外延层250图案化以形成一个或多个鳍元件252。在半导体器件200包括双鳍晶体管的一些实施例中,两个鳍元件252直接形成在沟道构件2080的竖直堆叠件上方,如图16所示。其他配置也是可能的。为了图案化的目的,可以在外延层250上方沉积硬掩模层。硬掩模层可以是单层或多层。在一个示例中,硬掩模层包括氧化硅层和氧化硅层上方的氮化硅层。如图16所示,鳍元件252从第二钝化层248沿z方向竖直延伸,并沿y方向纵向延伸。可以使用包括双图案化或多图案化工艺的合适的工艺来图案化鳍元件252。通常,双图案化或多图案化工艺将光刻和自对准工艺组合,从而允许创建具有例如间距小于使用单一、直接光刻工艺可获得的间距的图案。例如,在一个实施例中,在衬底上方形成材料层,并使用光刻工艺对其进行图案化。使用自对准工艺在图案化的材料层旁边形成间隔件。然后去除材料层,然后可以通过蚀刻外延层250来使用剩余的间隔件或心轴来图案化鳍元件252。蚀刻工艺可以包括干蚀刻、湿蚀刻、反应离子蚀刻(rie)和/或其他适合的工艺。
40.在一些实施方式中,鳍元件252具有的高度(沿z方向)大于其宽度(沿y方向)。在一些实施例中,鳍元件252的高度可以在约10nm和约70nm之间,并且鳍元件252的宽度可以在约3nm和约12nm之间。当半导体器件200包括多个鳍元件252时,鳍元件252包括在约10nm和约50nm之间的鳍间距。关于每个鳍元件252,主表面是侧壁。当第二衬底251由硅形成并且在(100)表面上具有顶面时,鳍元件的主表面在(110)表面上,这提供了优于其他表面的空穴迁移率。
41.参考图1、图16和图17,方法100包括框122,其中在鳍元件252的沟道区域上方沉积第二伪栅极堆叠件258。在采用栅极替换工艺(或后栅极工艺)的一些实施例中,第二伪栅极堆叠件258形成在鳍元件252上方,用作功能栅极结构的占位件。其他工艺和配置也是可能的。为了形成第二伪栅极堆叠件258,在工件200上方(包括在鳍元件252上方)沉积伪介电层
254、伪栅电极层256和栅极顶部硬掩模层(未示出)。这些层的沉积可以包括使用低压cvd(lpcvd)、cvd、等离子体增强cvd(pecvd)、pvd、ald、热氧化、电子束蒸发或其他合适的沉积技术或其组合。伪介电层254可以包括氧化硅,伪栅电极层256可以包括多晶硅,并且栅极顶部硬掩模层可以是包括氧化硅和氮化硅的多层。使用光刻和蚀刻工艺,可以对栅极顶部硬掩模层进行图案化。光刻工艺可以包括光刻胶涂覆(例如,旋涂)、软烘烤、掩模对准、曝光、曝光后烘烤、光刻胶显影、冲洗、干燥(例如,旋干和/或硬烘烤)、其他合适的光刻技术和/或其组合。蚀刻工艺可以包括干蚀刻(例如,rie蚀刻)、湿蚀刻和/或其他的蚀刻方法。之后,使用图案化的栅极顶部硬掩模作为蚀刻掩模,然后蚀刻伪介电层254和伪栅电极层256,以形成第二伪栅极堆叠件258。如图16所示,第二伪栅极堆叠件258包围在鳍元件252上方并且设置在第二钝化层248上。参考图17,第二伪栅极堆叠件258下面的鳍元件252的部分是第二沟道区域252c。第二沟道区域252c和第二伪栅极堆叠件258还限定未与第二伪栅极堆叠件258竖直地重叠的第二源极区域252s和第二漏极区域252d。第二沟道区域252c沿y方向设置或夹在第二源极区域252s和第二漏极区域252d之间。
42.如图17中代表性地示出的,框122处的操作可以包括在第二伪栅极堆叠件258的侧壁上方形成第二栅极间隔件层260。在一些实施例中,第二栅极间隔件层260的形成包括在工件200上方共形沉积一个或多个介电层。在示例性工艺中,使用cvd、sacvd或ald沉积一个或多个介电层。一个或多个介电层可以包括氧化硅、氮化硅、碳化硅、氮氧化硅、碳氮化硅、碳氧化硅、碳氮氧化硅和/或它们的组合。
43.在图17所示的一些实施例中,第二沟道区域252c直接位于第一沟道区域210c上方,第二源极区域252s直接位于第一源极区域210s上方,第二漏极区域252d直接位于第一漏极区域210d上方。换句话说,沿着z方向,第二沟道区域252c可以与第一沟道区域210c基本重叠,第二源极区域252s可以与第一源极区域210s基本重叠,并且第二漏极区域252d可以与第一漏极区域210d基本重叠。
44.参考图1和图17,方法100包括框124,其中鳍元件252的源极/漏极区域被开槽以形成第二源极凹槽262s和第二漏极凹槽262d。在示例性工艺中,在沉积第二栅极间隔件层260之后,以选择性地使鳍元件252的第二源极区域252s和第二漏极区域252d开槽的蚀刻工艺来蚀刻工件200。第二源极区域252s和第二漏极区域252d的选择性开槽获得第二源极凹槽262s和第二漏极凹槽262d。框124处的蚀刻工艺可以是干蚀刻工艺或合适的蚀刻工艺。示例性干蚀刻工艺可以实施含氧气体、氢气、含氟气体(例如,cf4、sf6、ch2f2、chf3和/或c2f6)、含氯气体(例如,cl2、chcl3、ccl4和/或bcl3)、含溴气体(例如,hbr和/或chbr3)、含碘气体、其他合适的气体和/或等离子体和/或它们的组合。如图17所示,第二源极区域252s和第二漏极区域252d中的第二钝化层248暴露在第二源极凹槽262s和第二漏极凹槽262d中。
45.参考图1和图18,方法100包括框126,其中形成第二源极部件264s和第二漏极部件264d。在一些实施例中,可以使用诸如vpe、uhv

cvd、mbe和/或其他合适的工艺的外延工艺来形成第二源极部件264s和第二漏极部件264d。外延生长工艺可以使用与鳍元件252的组分相互作用的气体和/或液体前体。因此,第二源极部件264s和第二漏极部件264d耦合至鳍元件252。在一些实施例中,第二源极部件264s和第二漏极部件264d可以是p型源极/漏极部件。示例性p型源极/漏极部件可以包括si、ge、algaas、sige或其他合适的材料,并且可以在外延工艺期间通过引入p型掺杂剂(诸如,硼(b))进行原位掺杂或使用注入工艺(即,结注入
工艺)进行异位掺杂。在一个实施例中,第二源极部件264s和第二漏极部件264d包括掺杂硼的硅锗(sige:b)。
46.参考图1、图19、图20、图21和图22,方法100包括框128,其中用第二栅极结构274代替第二伪栅极堆叠件258。框128处的操作包括沉积第二接触蚀刻停止层(cesl)266(图19所示)、沉积第二层间介电(ild)层268(图19所示)、去除第二伪栅极堆叠件258(图20所示)、沉积第二栅极介电层270(图20所示)、暴露第一栅极结构240(图21所示)、沉积第二栅电极层272(图22所示)以及平坦化工件200以去除多余的材料(图22所示)。第二cesl 266可以包括氮化硅、氮氧化硅和/或本领域中已知的其他材料,并且可以通过ald、等离子体增强化学气相沉积(pecvd)工艺和/或其他合适的沉积或氧化工艺来形成。如图19所示,第二cesl 266可以沉积在第二源极部件264s和第二漏极部件264d的顶面上。此后,在第二cesl 266上方沉积第二ild层268。与第一ild层232类似,第二ild层268可以包括诸如原硅酸四乙酯(teos)氧化物、未掺杂的硅酸盐玻璃或掺杂的氧化硅(诸如硼磷硅硅酸盐玻璃(bpsg)、熔融石英玻璃(fsg)、磷硅酸盐玻璃(psg)、硼掺杂的硅玻璃(bsg))和/或其他合适的介电材料的材料。可以通过pecvd工艺或其他合适的沉积技术来沉积第二ild层268。在一些实施例中,在形成第二ild层268之后,可以对工件200进行退火以改善第二ild层268的完整性。为了去除多余的材料并暴露第二伪栅极堆叠件258的顶面,可以执行平坦化工艺,诸如化学机械抛光(cmp)工艺。
47.参考图20。随着第二伪栅极堆叠件258的暴露,框128进行到第二伪栅极堆叠件258的去除。第二伪栅极堆叠件258的去除可以包括一个或多个蚀刻工艺,其对第二伪栅极堆叠件258中的材料具有选择性。例如,可以使用选择性湿蚀刻、选择性干蚀刻或其组合来执行第二伪栅极堆叠件258的去除。在去除第二伪栅极堆叠件258之后,第二栅极介电层270沉积在鳍元件252的第二沟道区域252c上方。第二栅极介电层270可以包括界面层和高k介电层。在一些实施例中,界面层包括氧化硅并且可以在预清洁工艺中形成。示例性预清洁工艺可以包括使用rca sc

1(氨、过氧化氢和水)和/或rca sc

2(盐酸、过氧化氢和水)。然后使用ald、cvd和/或其他合适的方法将高k介电层沉积在界面层上方。高k介电层可以包括氧化铪。替代地,高k介电层可以包括其他高k电介质,诸如氧化钛(tio2)、氧化锆铪(hfzro)、氧化钽(ta2o5)、氧化硅铪(hfsio4)、氧化锆(zro2)、氧化锆硅(zrsio2)、氧化镧(la2o3)、氧化铝(al2o3)、氧化锆(zro)、氧化钇(y2o3)、srtio3(sto)、batio3(bto)、bazro、氧化镧铪(hflao)、氧化镧硅(lasio)、氧化铝硅(alsio)、氧化钽铪(hftao)、氧化钛铪(hftio)、(ba,sr)tio3(bst)、氮化硅(sin)、氮氧化硅(sion)、它们的组合或其他合适的材料。
48.参考图21,在沉积第二栅极介电层270之后,对工件200进行各向异性蚀刻工艺以去除不与鳍元件252重叠的第二钝化层248和第一钝化层246,直到第一栅极结构240暴露在鳍元件252之间。如图21所示,鳍元件252下面的第二钝化层248和第一钝化层246的部分可以基本未被蚀刻并保留在适当的位置。第二栅极介电层270的一部分设置在剩余的第二钝化层248上。此后,如图22所示,使用ald、pvd、cvd、电子束蒸发或其他合适的方法将第二栅电极层272沉积在第二栅极介电层270、第一栅极结构240和鳍元件252上方。第二栅电极层272可以包括单层或替代的多层结构,诸如具有增强器件性能的所选功函数的金属层(功函数金属层)、衬层、湿润层、粘合层、金属合金或金属硅化物的各种组合。举例来说,第二栅电极层272可以包括氮化钛(tin)、钛铝(tial)、氮化钛铝(tialn)、氮化钽(tan)、钽铝(taal)、
氮化钽铝(taaln)、碳化钽铝(taalc)、碳氮化钽(tacn)、铝(al)、钨(w)、镍(ni)、钛(ti)、钌(ru)、钴(co)、铂(pt)、碳化钽(tac)、氮化钽硅(tasin)、铜(cu)、其他难熔金属或其他合适的金属材料或其组合。如图22所示,第二栅极介电层270和第二栅电极层272共同构成第二栅极结构274。因为第二栅电极层272与第一栅电极层238直接接触,所以框128处的操作将第二栅极结构274电耦合至第一栅极结构240。
49.参考图1、图23

图25、图32、图33、图38和图39,方法100包括框130,其中形成上部源极接触件280和上部漏极接触件282。在图23所示的示例性工艺中,光刻工艺用于形成暴露第二源极部件264s和第二漏极部件264d的接触件开口。为了减小接触电阻,可以通过在第二源极部件264s和第二漏极部件264d上方沉积金属层并执行退火工艺以在金属层与第二源极部件264s和第二漏极部件264d之间引起硅化来在第二源极部件264s和第二漏极部件264d上形成硅化物层281。合适的金属层可以包括钛(ti)、钽(ta)、镍(ni)、钴(co)或钨(w)。硅化物层281可以包括硅化钛(tisi)、氮化钛硅(tisin)、硅化钽(tasi)、硅化钨(wsi)、硅化钴(cosi)或硅化镍(nisi)。在形成硅化物层281之后,可以将金属填充层沉积到接触件开口中。金属填充层可以包括氮化钛(tin)、钛(ti)、钌(ru)、镍(ni)、钴(co)、铜(cu)、钼(mo)、钨(w)、钽(ta)或氮化钽(tan)。可以接着进行平坦化工艺以去除多余的材料,从而在第二源极部件264s上方形成上部源极接触件280并且在第二漏极部件264d上方形成上部漏极接触件282。由于平坦化工艺,所以上部源极接触件280、上部漏极接触件282、第二cesl 266和第二ild层268的顶面共面。
50.在图24所示的一些实施例中,上部源极接触件280设置在第二源极部件264s上方,并且不突出于第二源极部件264s之上。相反,如图25所示,上部漏极接触件282沿x方向突出在第一漏极部件228d上方。上部漏极接触件282包括第二突出部2820,其在第二漏极部件264d上突出约2nm和约20nm。在一些实施例中,第二突出部2820直接设置在第一突出部上方,并且该配置允许形成第一导电部件284以耦合下部漏极接触件244和上部漏极接触件282。在一些实施方式中,在形成第二漏极部件264d上方的接触件开口之后,形成穿过第二ild层268、第二钝化层248和第一钝化层246的通孔,以暴露下部漏极接触件244。在将金属填充层沉积在接触件开口中之前,可以以自下而上的方式沉积第一导电部件284。示例性的自下而上沉积可以包括使用可以优先沉积在金属表面上的金属有机前体。如图25所示,第一导电部件284可以邻近第二漏极部件264d的侧壁延伸。第一导电部件284可以与第二漏极部件264d接触,但是不竖直地穿透第二漏极部件264d。
51.在图32和图33所示的一些替代实施例中,替代的下部漏极接触件244'不突出在第一漏极部件228d上方,替代的上部漏极接触件282'不突出在第二漏极部件264d上方。用于替代的上部漏极接触件282'的接触件开口还包括第一通孔接触件开口302,其延伸穿过第二漏极部件264d、第二钝化层248和第一钝化层246,以暴露替代的下部漏极接触件244'。在这些替代实施例中,当金属填充层沉积到接触件开口和第一通孔接触件开口302中时,第二导电部件306与上部漏极接触件280一起形成。在一些实施例中,可以将硅化物层304设置在上部漏极接触件280和第二漏极部件264d之间以及第二导电部件306和第二漏极部件264d之间。硅化物层304的组成和形成可以类似于硅化物层242的组成和形成。在第二导电部件306和第二钝化层248之间或者在第二导电部件306和第一钝化层246之间不形成硅化物层304。第二导电部件306竖直延伸穿过第二漏极部件264d、第二钝化层248和第一钝化层246。
52.在图32和图33所示的一些替代实施例中,替代的下部漏极接触件244'不突出在第一漏极部件228d上方,替代的上部漏极接触件282'不突出在第二漏极部件264d上方。用于替代的上部漏极接触件282'的接触件开口还包括第一通孔接触件开口302,其延伸穿过第二漏极部件264d、第二钝化层248和第一钝化层246,以暴露替代的下部漏极接触件244'。在这些替代实施例中,当金属填充层沉积到接触件开口和第一通孔接触件开口302中时,第二导电部件306与替代的上部漏极接触件282'一起形成。在一些实施例中,可以将硅化物层304设置在替代的上部漏极接触件282'和第二漏极部件264d之间以及第二导电部件306和第二漏极部件264d之间。硅化物层304的组成和形成可以类似于硅化物层242的组成和形成。在第二导电部件306和第二钝化层248之间或者在第二导电部件306和第一钝化层246之间不形成硅化物层304。第二导电部件306竖直延伸穿过第二漏极部件264d、第二钝化层248和第一钝化层246。
53.在图38和图39所示的又一些替代实施例中,省略下部漏极接触件244,并且替代的上部漏极接触件282'不突出在第二漏极部件264d上方。替代地,用于替代的上部漏极接触件282'的接触件开口还包括第二通孔接触件开口332,其延伸穿过第二漏极部件264d、第二钝化层248、第一钝化层246、第一ild层232、第一cesl 230和第一漏极部件228d。在这些替代实施例中,当金属填充层沉积到接触件开口和第二通孔接触件开口332中时,第三导电部件336与替代的上部漏极接触件282'一起形成。在一些实施例中,可以将硅化物层334设置在替代的上部漏极接触件282'和第二漏极部件264d之间以及第三导电部件336和第二漏极部件264d之间。另外,在第三导电部件336和第一漏极部件228d之间形成硅化物层338。硅化物层334和338的组成和形成可以类似于硅化物层242的组成和形成。第三导电部件336竖直延伸穿过第二漏极部件264d、第二钝化层248、第一钝化层246、第一ild层232、第一cesl 230。第三导电部件336可以接触或可以不接触第一衬底202。
54.在形成上部源极接触件280和上部漏极接触件282(或替代的上部漏极接触件282')之后,可以在工件200上方形成互连结构275。尽管附图中未明确示出,但是互连结构275可以包括多个互连层,每个互连层包括嵌入介电层中的导线和导电通孔。介电层可以被称为金属间层(imd),并且可以包括诸如原硅酸四乙酯(teos)氧化物、未掺杂的硅酸盐玻璃或掺杂的氧化硅(诸如硼磷硅硅酸盐玻璃(bpsg)、熔融石英玻璃(fsg)、磷硅酸盐玻璃(psg)、硼掺杂的硅玻璃(bsg))和/或其他合适的介电材料的材料。参考图23、图24和图25,第三钝化层276沉积在互连结构275上,以利于将工件200接合至其他结构。在一个实施例中,第三钝化层276包括氧化硅。在一些替代实施例中,第三钝化层276可以包括氮化硅、碳氮化硅、碳氮氧化硅、氧化铝或氧化铪。
55.参考图1、图26

图31和图34

图37,方法100包括框132,其中形成背侧源极接触件296以耦合至第一源极部件228s。框134处的操作可以包括在载体衬底288上沉积第四钝化层286(图26所示)、将第四钝化层286接合至第三钝化层276(图26所示)、翻转工件200以使第一衬底202向上(图27所示)、去除第一衬底202的一部分(图28所示)以及在第一源极部件228s上方形成背侧源极接触件296(图28所示)。载体衬底288可以包括硅或碳化硅。在一个实施例中,第四钝化层286包括氧化硅。在一些替代实施例中,第四钝化层286可以包括氮化硅、碳氮化硅、碳氮氧化硅、氧化铝或氧化铪。可以使用cvd或适当的沉积工艺将第四钝化层286沉积在载体衬底288上。通过第三钝化层276和第四钝化层286之间的直接接合而将载体
衬底288接合至工件200。上面描述了示例性直接接合工艺,并且在此将不再重复。在将载体衬底288接合至工件200之后,将工件200翻转,使第一衬底朝上,如图27所示。通过研磨工艺和/或化学机械抛光(cmp)工艺对第一衬底202进行研磨和/或平坦化,直到隔离部件212、第一源极部件228s和第一漏极部件228d暴露在顶面上。
56.参考图28,在暴露的隔离部件212、第一源极部件228s和第一漏极部件228d上方沉积背侧接触蚀刻停止层(bcesl)290。与第一cesl 230和第二cesl 266类似,bcesl 290可以包括氮化硅、氮氧化硅和/或本领域中已知的其他材料,并且可以通过ald、等离子体增强化学气相沉积(pecvd)工艺和/或其他合适的沉积或氧化工艺来形成。此后,在bcesl290上方沉积背侧介电层292。背侧介电层292可以包括诸如原硅酸四乙酯(teos)氧化物、未掺杂的硅酸盐玻璃或掺杂的氧化硅(诸如硼磷硅硅酸盐玻璃(bpsg)、熔融石英玻璃(fsg)、磷硅酸盐玻璃(psg)、硼掺杂的硅玻璃(bsg))和/或其他合适的介电材料的材料。可以通过pecvd工艺或其他合适的沉积技术来沉积背侧介电层292。
57.还参考图28。为了形成背侧源极接触件296,形成背侧接触件开口以暴露第一源极部件228s。在背侧接触件开口中形成背侧硅化物层294和背侧源极接触件296。背侧硅化物层294可以包括硅化钛(tisi)、氮化钛硅(tisin)、硅化钽(tasi)、硅化钨(wsi)、硅化钴(cosi)或硅化镍(nisi)。背侧源极接触件296可以包括氮化钛(tin)、钛(ti)、钌(ru)、镍(ni)、钴(co)、铜(cu)、钼(mo)、钨(w)、钽(ta)或氮化钽(tan)。图29和图30示出根据本公开的一些实施例的工件200现在上下颠倒时的第二源极区域252s和第二漏极区域252d的局部截面图。
58.背侧源极接触件296的替代实施例在图34

图37中示出。在图34和图35所示的第一替代实施例中,可以在形成背侧接触件开口之后形成第一贯通孔开口312,并且在第一贯通孔开口312中沉积第四导电部件314。如图34和图35所示,第一贯通孔开口312和第四导电部件314延伸穿过第一源极部件228s、第一cesl 230、第一ild层232、第一钝化层246、第二钝化层248、第二源极部件264s和硅化物层281,以耦合至上部源极接触件280。在图35所示的一些实施方式中,可以在第四导电部件314和第一源极部件228s之间形成硅化物层316,并且可以在第四导电部件314和第二源极部件264s之间形成硅化物层318。硅化物层316和318的组成可以类似于硅化物层242,并且为了简洁起见省略其详细描述。类似地,第四导电部件314在材料方面类似于背侧源极接触件296,并且为简洁起见也省略了其详细描述。
59.在图36和图37所示的第二替代实施例中,可以在形成背侧接触件开口之后形成第二贯通孔开口322,并且在第二贯通孔开口322中沉积第五导电部件324。与图34和图35中所示的第一替代实施例不同,省略了上部源极接触件280。如图36和图37所示,第二贯通孔开口322和第五导电部件324延伸穿过第一源极部件228s、第一cesl 230、第一ild层232、第一钝化层246和第二钝化层248,以耦合至第二源极部件264s。在图37所示的一些实施方式中,可以在第五导电部件324和第一源极部件228s之间形成硅化物层326,并且可以在第五导电部件324和第二源极部件264s之间形成硅化物层328。硅化物层326和328的组成可以类似于硅化物层242,并且为了简洁起见省略其详细描述。类似地,第五导电部件324在材料方面类似于背侧源极接触件296,并且为简洁起见也省略了其详细描述。
60.参考图1,方法100包括框134,其中执行进一步处理。这种进一步处理可以包括在背侧源极接触件296上方沉积第三ild层以及在第三ild层中形成背侧电源轨。
61.现在参考图31。在方法100中的操作结束时,半导体器件200包括作为底部晶体管的n型mbc晶体管1000和作为顶部器件的p型finfet 2000。在图31中,p型finfet 2000设置在n型mbc晶体管1000上方。n型mbc晶体管1000包括沿z方竖直堆叠的多个沟道构件2080。沿着y方向,沟道构件2080在第一源极部件228s和第一漏极部件228d之间延伸。第一栅极结构240包围在每个沟道构件2080周围。p型finfet 2000包括从第二钝化层248凸起的一个或多个鳍元件252(如图31所示)。沿着y方向,鳍元件252在第二源极部件264s和第二漏极部件264d之间延伸。第二栅极结构274包围在鳍元件252上方。n型mbc晶体管1000的第一源极部件228s和p型finfet 2000的第二源极部件264s沿z方向对准。n型mbc晶体管1000的第一漏极部件228d和p型finfet 2000的第二漏极部件264d沿z方向对准。这种竖直对准取向允许形成将第一源极部件228s和第二源极部件264s或第一漏极部件228d和第二漏极部件264d电耦合的导电部件。
62.在第二衬底251是具有(100)表面的硅衬底的实施例中,图31中的半导体器件200改善了p型finfet 2000的空穴迁移率。在这些实施例中,第二衬底251上沉积的外延层250遵循第二衬底251的晶体取向。当外延层250被图案化以形成鳍元件252时。鳍元件252的侧壁在(110)表面上,其提供比(100)表面更大的空穴迁移率。因为鳍元件252的侧壁是鳍元件252的主表面,所以鳍元件252具有改善的空穴迁移率,并且p型finfet2000具有改善的驱动电流。
63.尽管本公开的大部分描述了图31中所示的半导体器件200的工艺和结构,但是本公开的实施例不限于包括n型mbc上方的p

finfet的实施例。图40示出半导体器件400中的替代配置。与图31中的半导体器件200不同,半导体器件400包括作为底部晶体管的p型finfet 2000和作为顶部器件的n型mbc晶体管1000。即,在半导体器件400中,n型mbc晶体管1000设置在p型finfet 2000上方。由于p型finfet 2000现在是底部晶体管,所以省略了上部源极接触件280,并且替代的源极接触件245形成为通过硅化物层耦合至第一源极部件228s。由于替代的源极接触件245类似于下部漏极接触件244,所以为简洁起见,省略了替代的源极接触件245的详细描述。类似于图31中的半导体器件200,n型mbc晶体管1000的第一漏极部件228d和p型finfet 2000的第二漏极部件264d沿z方向对准。这种竖直对准取向允许形成将第一源极部件228s和第二源极部件264s或第一漏极部件228d和第二漏极部件264d电耦合的导电部件。在半导体器件200和替代的半导体器件400两者中,鳍元件252设置在第二钝化层248上以提供改善的栅极控制。
64.在一个示例性方面,本公开针对一种半导体器件。半导体器件包括第一晶体管和设置在第一晶体管上方的第二晶体管。第一晶体管包括彼此竖直堆叠的多个沟道构件以及邻接多个沟道构件的第一源极/漏极部件。第二晶体管包括鳍结构和邻接鳍结构的第二源极/漏极部件。半导体器件还包括电连接第一源极/漏极部件和第二源极/漏极部件的导电部件。
65.在一些实施例中,第一晶体管还包括包围在多个沟道构件中的每一个周围的第一栅极结构。第二晶体管还包括包围在鳍结构上方的第二栅极结构。第一栅极结构与第二栅极结构接触。在一些实施例中,多个沟道构件包括硅(si),并且鳍结构包括硅锗(sige)。在一些实施方式中,多个沟道构件包括硅(si)、锗(ge)、硅锗(sige)、二硫化钼(mos2)、二硒化钨(wse2)或二碲化铪(hfte2),并且鳍结构包括硅(si)、锗(ge)、硅锗(sige)、二硫化钼
(mos2)、二硒化钨(wse2)或二碲化铪(hfte2)。在一些情况下,导电部件延伸穿过第二源极/漏极部件。在一些实施例中,导电部件延伸穿过第一源极/漏极部件。在一些情况下,第一晶体管还包括设置在第一源极/漏极部件上方的第一源极/漏极接触件,第二晶体管还包括设置在第二源极/漏极部件上方的第二源极/漏极接触件,并且导电部件与第一源极/漏极接触件和第二源极/漏极接触件直接接触。在一些实施例中,第一晶体管还包括设置在第一源极/漏极部件下方的第三源极/漏极接触件,第二晶体管还包括设置在第二源极/漏极部件上方的第四源极/漏极接触件,并且导电部件与第三源极/漏极接触件和第四源极/漏极接触件直接接触。
66.在另一示例性方面中,本公开针对一种半导体器件。半导体器件包括第一晶体管和设置在第一晶体管上方的第二晶体管。第一晶体管包括第一源极部件和第一漏极部件以及彼此竖直堆叠并且在第一源极部件和第一漏极部件之间延伸的多个沟道构件。第二晶体管包括第二源极部件和第二漏极部件以及在第二源极部件和第二漏极部件之间延伸的鳍结构。第二源极部件直接位于第一源极部件上方,并且第二漏极部件直接位于第一漏极部件上方。
67.在一些实施例中,第一晶体管还包括设置在第一漏极部件上方的第一漏极接触件,并且第二晶体管还包括设置在第二漏极部件上方的第二漏极接触件。在一些实施方式中,第一漏极部件包括掺杂有n型掺杂剂的硅,第二漏极部件包括掺杂有p型掺杂剂的硅锗,并且第一漏极接触件和第二漏极接触件包括金属。在一些实施方式中,第一漏极接触件突出在第一漏极部件上方,并且第二漏极接触件突出在第二漏极部件上方。在一些实施例中,半导体器件还可以包括电耦合第一漏极接触件和第二漏极接触件的第一导电部件。在一些情况下,第一导电部件延伸穿过第二漏极部件。在一些实施方式中,第一晶体管还可以包括设置在第一漏极部件下方的第一源极接触件,并且第二晶体管还可以包括设置在第二漏极部件上方的第二源极接触件。
68.在又一示例性方面中,本公开针对一种方法。该方法包括:在第一衬底上形成第一晶体管,其中,第一晶体管包括第一源极部件和第一漏极部件、彼此竖直堆叠并且在第一源极部件和第一漏极部件之间延伸的多个沟道构件以及包围在多个沟道构件中的每一个周围的第一栅极结构。该方法还可以包括:在第一晶体管上方沉积第一钝化层;在第二衬底上方形成外延层;在外延层上方沉积第二钝化层;将第二钝化层接合至第一钝化层;在接合之后去除第二衬底;图案化外延层以在多个沟道构件上方形成鳍结构;并且形成第二栅极结构以包围在鳍结构上方,其中,第二栅极结构与第一栅极结构接触。
69.在一些实施例中,外延层包括硅锗。在一些实施方式中,该方法还可以包括:在形成第二栅极结构之前,在鳍结构的沟道区域上方形成伪栅极堆叠件;对鳍结构的源极区域和漏极区域进行开槽以形成源极凹槽和漏极凹槽,源极区域和漏极区域夹持沟道区域;在源极区域中形成第二源极部件,在漏极凹槽中形成第二漏极部件;在第二源极部件和第二漏极部件上方沉积介电层;并且去除伪栅极堆叠件。在一些情况下,该方法还可以包括:在形成第二栅极结构之后,形成穿过第二漏极部件和第一漏极部件的漏极接触件开口;并且在漏极接触件开口中形成导电部件。在一些情况下,该方法还可以包括:在形成第二栅极结构之后,在第二栅极结构上方沉积第三钝化层;在第三衬底上方沉积第四钝化层;将第四钝化层接合至第三钝化层;去除第一衬底;并且形成电耦合至第一源极部件的背侧源极接触
件。
70.在一些实施例中,一种半导体器件,包括:第一晶体管,包括:彼此竖直堆叠的多个沟道构件,和邻接所述多个沟道构件的第一源极/漏极部件;第二晶体管,设置在所述第一晶体管上方,包括:鳍结构,和邻接所述鳍结构的第二源极/漏极部件;以及导电部件,电连接所述第一源极/漏极部件和所述第二源极/漏极部件。在一些实施例中,第一晶体管还包括包围在所述多个沟道构件中的每一个周围的第一栅极结构,其中,所述第二晶体管还包括包围在所述鳍结构上方的第二栅极结构,其中,所述第一栅极结构与所述第二栅极结构接触。在一些实施例中,多个沟道构件包括硅(si),其中,所述鳍结构包括硅锗(sige)。在一些实施例中,多个沟道构件包括硅(si)、锗(ge)、硅锗(sige)、二硫化钼(mos2)、二硒化钨(wse2)或二碲化铪(hfte2),其中,所述鳍结构包括硅(si)、锗(ge)、硅锗(sige)、二硫化钼(mos2)、二硒化钨(wse2)或二碲化铪(hfte2)。在一些实施例中,导电部件延伸穿过所述第二源极/漏极部件。在一些实施例中,导电部件延伸穿过所述第一源极/漏极部件。在一些实施例中,第一晶体管还包括设置在所述第一源极/漏极部件上方的第一源极/漏极接触件,其中,所述第二晶体管还包括设置在所述第二源极/漏极部件上方的第二源极/漏极接触件,其中,所述导电部件与所述第一源极/漏极接触件和所述第二源极/漏极接触件直接接触。在一些实施例中,第一晶体管还包括设置在所述第一源极/漏极部件下方的第三源极/漏极接触件,其中,所述第二晶体管还包括设置在所述第二源极/漏极部件上方的第四源极/漏极接触件,其中,所述导电部件与所述第三源极/漏极接触件和所述第四源极/漏极接触件直接接触。
71.在一些实施例中,一种半导体器件,包括:第一晶体管,包括:第一源极部件和第一漏极部件,和彼此竖直堆叠并且在所述第一源极部件和所述第一漏极部件之间延伸的多个沟道构件;以及第二晶体管,设置在所述第一晶体管上方,包括:第二源极部件和第二漏极部件,和在所述第二源极部件和所述第二漏极部件之间延伸的鳍结构,其中,所述第二源极部件直接位于所述第一源极部件上方,其中,所述第二漏极部件直接位于所述第一漏极部件上方。在一些实施例中,第一晶体管还包括设置在所述第一漏极部件上方的第一漏极接触件,其中,所述第二晶体管还包括设置在所述第二漏极部件上方的第二漏极接触件。在一些实施例中,第一漏极部件包括掺杂有n型掺杂剂的硅,其中,所述第二漏极部件包括掺杂有p型掺杂剂的硅锗,其中,所述第一漏极接触件和所述第二漏极接触件包括金属。在一些实施例中,第一漏极接触件突出在所述第一漏极部件上方,其中,所述第二漏极接触件突出在所述第二漏极部件上方。在一些实施例中,还包括电耦合所述第一漏极接触件和所述第二漏极接触件的第一导电部件。在一些实施例中,第一导电部件延伸穿过所述第二漏极部件。在一些实施例中,第一晶体管还包括设置在所述第一漏极部件下方的第一源极接触件,其中,所述第二晶体管还包括设置在所述第二漏极部件上方的第二源极接触件。
72.在一些实施例中,一种形成半导体器件的方法,包括:在第一衬底上形成第一晶体管,其中,所述第一晶体管包括:第一源极部件和第一漏极部件,彼此竖直堆叠并且在所述第一源极部件和所述第一漏极部件之间延伸的多个沟道构件,和包围在所述多个沟道构件中的每一个周围的第一栅极结构;在所述第一晶体管上方沉积第一钝化层;在第二衬底上方形成外延层;在所述外延层上方沉积第二钝化层;将所述第二钝化层接合至所述第一钝化层;接合后去除所述第二衬底;图案化所述外延层以在所述多个沟道构件上方形成鳍结
构;以及形成第二栅极结构以包围在所述鳍结构上方,其中,所述第二栅极结构与所述第一栅极结构接触。在一些实施例中,外延层包括硅锗。在一些实施例中,还包括:在形成所述第二栅极结构之前,在所述鳍结构的沟道区域上方形成伪栅极堆叠件;对所述鳍结构的源极区域和漏极区域进行开槽以形成源极凹槽和漏极凹槽,所述源极区域和所述漏极区域夹持所述沟道区域;在所述源极区域中形成第二源极部件,并且在所述漏极凹槽中形成第二漏极部件;在所述第二源极部件和所述第二漏极部件上方沉积介电层;并且去除所述伪栅极堆叠件。在一些实施例中,还包括:在形成所述第二栅极结构之后,形成穿过所述第二漏极部件和所述第一漏极部件的漏极接触件开口;并且在所述漏极接触件开口中形成导电部件。在一些实施例中,还包括:在形成所述第二栅极结构之后,在所述第二栅极结构上方沉积第三钝化层;在第三衬底上方沉积第四钝化层;将所述第四钝化层接合至所述第三钝化层;去除所述第一衬底;并且形成电耦合至所述第一源极部件的背侧源极接触件。
73.上面论述了若干实施例的部件,使得本领域技术人员可以更好地理解本发明的各个实施例。本领域普通技术人员应该理解,可以很容易地使用本发明作为基础来设计或更改其他用于达到与本文所介绍实施例相同的目的和/或实现相同优点的处理和结构。本领域普通技术人员也应该意识到,这种等效构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,可以进行多种变化、替换以及改变。
再多了解一些

本文用于企业家、创业者技术爱好者查询,结果仅供参考。

发表评论 共有条评论
用户名: 密码:
验证码: 匿名发表

相关文献