一种残膜回收机防缠绕挑膜装置的制 一种秧草收获机用电力驱动行走机构

用于翻新航空部件的方法与流程

2023-03-08 15:10:25 来源:中国专利 TAG:


1.本公开内容的实施方式总体涉及清洁和沉积工艺,并且特别地涉及用于航空部件的清洁工艺和用于在航空部件上沉积膜的气相沉积工艺。


背景技术:

2.航空部件,例如涡轮发动机,通常具有因暴露于热气和/或反应性化学品(例如,酸、碱或盐)而随时间推移腐蚀或降解的部件或组件。这样的航空部件通常由热和/或化学阻挡涂层保护。目前在暴露于燃气涡轮发动机中的燃烧热气的机翼上使用的用于既实现环境保护又用作热阻挡涂层(thermal barrier coating,tbc)系统中的粘结涂层的涂层既包括扩散性铝化物涂层又包括各种金属合金涂层。这些涂层施加在基板材料(通常是镍基超合金)之上,以提供保护来抵抗氧化和腐蚀侵袭。这些保护涂层以多种不同方式形成在基板上并且可以包括金属铝化物层和/或氧化铝层。例如,铝化镍层可以通过简单地将基板暴露于温度升高的富铝环境来生长为镍超合金上的外涂层。铝扩散到基板中并且与镍结合形成镍铝合金的外表面。当在具有氧气的相对高温的环境中时,氧化铝层通常生长、沉积或以其他方式形成在金属铝化物层的顶部。
3.腐蚀可发生在航空部件上,并且通过不同阶段持续扩散。腐蚀可发生在任何保护涂层上或内和/或可发生在基部金属(诸如镍超合金)上。通常,包含腐蚀部的航空部件被更换和丢弃,诸如报废、回收或掩埋在垃圾填埋场中。
4.因此,需要一种用于翻新航空部件的方法,更特定地,需要用于清洁航空部件的方法和用于在航空部件上沉积保护涂层的方法。


技术实现要素:

5.本公开内容的实施方式总体涉及用于航空部件的清洁工艺和用于在航空部件上沉积保护涂层的方法。在一个或多个实施方式中,一种航空部件的翻新方法包括将包含腐蚀部的航空部件暴露于水性清洁溶液。所述航空部件包括镍超合金、设置在所述镍超合金上的铝化物层和设置在所述铝化物层上的氧化铝层。在一个或多个实施方式中,腐蚀部(例如阶段1腐蚀)包括在氧化铝层的第一部分或上部部分,而氧化铝层的第二部分或下部部分无腐蚀部。所述方法还包括使用所述水性清洁溶液从所述氧化铝层的第一部分去除腐蚀部以露出所述氧化铝层的第一部分;然后将所述氧化铝层的第一部分和第二部分暴露于后冲洗,以及在所述氧化铝层的第一部分和第二部分上形成保护涂层。
6.在其他实施方式中,一种航空部件的翻新方法包括将包含腐蚀部的航空部件暴露于包含例如硫酸的酸性清洁溶液。所述航空部件包括镍超合金、设置在所述镍超合金上的铝化物层和设置在所述铝化物层上的氧化铝层。所述腐蚀部(例如第2阶段腐蚀)包含在氧化铝层上和氧化铝层内。所述方法还包括使用所述酸性清洁溶液去除所述腐蚀部和所述氧化铝层以露出所述铝化物层;然后将所述铝化物层暴露于后冲洗;以及在所述铝化物层上形成保护涂层。
7.在一些实施方式中,一种航空部件的翻新方法包括将包含腐蚀部的航空部件暴露于包含例如氟化氢和硝酸的酸性清洁溶液。所述航空部件包括镍超合金、设置在所述镍超合金上的铝化物层和设置在所述铝化物层上的氧化铝层。所述腐蚀部(例如,第3阶段腐蚀)包含在氧化铝层上和氧化铝层内以及铝化物层的第一部分内。所述方法还包括使用所述酸性清洁溶液去除所述腐蚀部、所述氧化铝层、和所述铝化物层的第一部分以露出所述铝化物层的第二部分;然后将所述航空部件暴露于后冲洗;以及在所述铝化物层的第二部分上形成保护涂层。
8.翻新的航空部件通过本文描述和讨论的任何一种方法生产或形成。示例性航空部件可以是或包括涡轮叶片、涡轮叶片根部、涡轮盘、涡轮轮叶、支撑构件、框架、肋、翅片、钉状翅片、燃料喷嘴、燃料管线、燃料阀、燃烧室衬里、燃烧室护罩、热交换器或内部冷却通道。
附图说明
9.为了能够详细理解本公开内容的上述特征的方式,可以通过参考实施方式(附图中示出了其中的部分实施方式)来对上文简要概括的本公开内容进行更具体的描述。然而,应当注意,附图仅示出示例性实施方式,因此不应被视为对其范围的限制,可以允许其他同等有效的实施方式。
10.图1a至图1c描绘了根据本文描述和讨论的一个或多个实施方式的具有腐蚀部并且在翻新工艺的不同阶段或操作中被处理的航空部件的示意图。
11.图2a至图2b是根据本文描述和讨论的一个或多个实施方式的示出图1a至图1c中所描绘的翻新工艺的不同阶段或操作的流程图。
12.图3a至图3d描绘了根据本文描述和讨论的一个或多个实施方式的具有腐蚀部并且在另一翻新工艺的不同阶段或操作中被处理的航空部件的示意图。
13.图4a至图4b是根据本文描述和讨论的一个或多个实施方式的示出图3a至图3d中所描绘的翻新工艺的不同阶段或操作的流程图。
14.图5a至图5d描绘了根据本文描述和讨论的一个或多个实施方式的具有腐蚀部并且在另一翻新工艺的不同阶段或操作中被处理的航空部件的示意图。
15.图6a至图6b是根据本文描述和讨论的一个或多个实施方式的示出图5a至图5d中所描绘的翻新工艺的不同阶段或操作的流程图。
16.图7a至图7b是根据本文描述和讨论的一个或多个实施方式的包括一个或多个保护涂层的翻新的航空部件的示意图。
17.为了便于理解,在可能的情况下,使用了相同的附图标记来表示各图共有的相同元件。可以设想,一个或多个实施方式的元件和特征可以有益地结合在其他实施方式中。
具体实施方式
18.本公开内容的实施方式总体涉及用于航空部件的清洁工艺和用于在航空部件上沉积保护涂层的方法。航空部件的主体通常含有镍,例如镍合金或镍超合金。在主体的内表面和/或外表面上,航空部件可以具有一层或多层的初始保护涂层或第一保护涂层,例如设置在镍超合金上的一层或多层金属铝化物层和设置在金属铝化物层上的一层或多层氧化铝层。在一些实施方式中,本文所描述和讨论的航空部件可以是或包括一个或多个涡轮叶
片、涡轮叶片根部(例如,枞树形或燕尾形)、涡轮盘、涡轮轮叶、内部冷却通道、支撑构件、框架、肋、翅片、钉状翅片、燃料喷嘴、燃烧室衬里、燃烧室护罩、热交换器、燃料管线、燃料阀或能够受益于去除腐蚀部和沉积保护涂层的任何其他航空部件或零件。初始保护涂层可以沉积或以其他方式形成在航空部件的内表面和/或外表面上。
19.航空部件上的腐蚀发生在不同的阶段,并且达到航空部件上初始保护涂层的不同深度,直到腐蚀到达并损坏下面的航空部件。在一个或多个示例中,航空部件具有初始保护涂层,其包括设置在航空部件主体的镍超合金表面上的氧化铝外层和金属铝化物层内层。本文所描述和讨论的方法去除多个阶段的腐蚀。在第1阶段,腐蚀部包括在氧化铝层的第一部分上,而氧化铝层的第二部分和所有金属铝化物层没有腐蚀部。在第2阶段,腐蚀已经发展,包括第1阶段的腐蚀部,还包括氧化铝层的第二部分的腐蚀部,而所有金属铝化物层都没有腐蚀部。在第3阶段,腐蚀已经发展,包括第1阶段和第2阶段的腐蚀部,还包括金属铝化物层的腐蚀部。在阶段1至3中,航空部件主体的镍超合金表面保持无腐蚀部。在第4阶段,腐蚀已经发展,包括第1至3阶段的腐蚀部,还包括航空部件主体的镍超合金的腐蚀部。
20.图1a至图1c描绘了包括航空部件110的工件100的示意图,该航空部件110具有处于第1阶段的腐蚀并且在翻新工艺的不同阶段或操作中被处理。图2a是示出方法200a、翻新工艺的流程图,其包括在图1a至图1c中描绘的工件100上执行的翻新工艺的一些不同阶段或操作210至250。
21.工件100具有设置在航空部件110的镍超合金表面上的一个或多个铝化物层120和设置在铝化物层120上的一个或多个氧化铝层130,如图1a所示。腐蚀部132包括在氧化铝层130的第一部分上,而氧化铝层130的第二部分没有腐蚀部132。例如,氧化铝层130的第一部分包含腐蚀部132,而氧化铝层130的第二部分基本上或完全没有腐蚀部132。腐蚀部132在氧化铝层130的上表面处和上表面上并且延伸到氧化铝层130的第一部分中。铝化物层120和航空部件110的超合金在腐蚀的第1阶段基本上或完全没有腐蚀部132。
22.铝化物层120包括一种或多种金属铝化物,其可以是或包括铝化镍、铝化钛、铝化镁、铝化铁或它们的组合。铝化物层120的厚度为约10μm、约20μm、约30μm、约50μm、约80μm或约100μm至约120μm、约150μm、约180μm、约200μm、约250μm、约300μm、约400μm、约500μm、约700μm或更厚。例如,铝化物层120的厚度为约10μm至约700μm、约20μm至约700μm、约20μm至约500μm、约20μm至约300μm、约20μm至约200μm、约20μm至约150μm、约20μm至约100μm、约20μm至约50μm、约50μm至约700μm、约50μm至约500μm、约50μm至约300μm、约50μm至约200μm、约50μm至约150μm、约50μm至约100μm、约50μm至约80μm、约100μm至约700μm、约100μm至约500μm、约100μm至约300μm、约100μm至约200μm、约100μm至约150μm、或约100μm至约120μm。
23.氧化铝130可以包括完全氧化的铝,例如al2o3,和/或氧化程度较低的铝,例如alo
x
,其中x为约0.1至小于1.5、约0.5至约1.4、约0.8至约1.2、约0.9至约1.1,或约1。氧化铝130的厚度为约1μm、约2μm、约3μm、约4μm、约5μm、约8μm或约10μm至约12μm、约15μm、约20μm、约30μm,约50μm、约80μm或约100μm。例如,氧化铝130的厚度为约1μm至约100μm、约1μm至约80μm、约1μm至约50μm、约1μm至约30μm、约1μm至约20μm、约1μm至约15μm、约1μm至约10μm、约1μm至约5μm、约5μm至约100μm、约5μm至约80μm、约5μm至约50μm、约5μm至约30μm、约5μm至约20μm、约5μm至约15μm、约5μm至约10μm、约5μm至约8μm、约10μm至约100μm、约10μm至约80μm、约10μm至约50μm、约10μm至约30μm、约10μm至约20μm、约10μm至约15μm、约10μm至约12μm、
约2μm至约20μm、约3μm至约10μm或约4μm至约8μm。
24.在一些实施方式中,在操作210中,在将包含航空部件110的工件100暴露于水性清洁溶液以去除腐蚀部之前,可以将工件100暴露于预冲洗液。预冲洗液包括一种或多种有机溶剂和水。示例性有机溶剂可以是或包括丙酮、甲醇、乙醇、异丙醇、戊烷、己烷、乙醚或它们的组合。预冲洗液可以包括约10体积%、约20体积%、约30体积%、约40体积%或约50体积%至约60体积%、约70体积%、约80体积%、约90体积%,或约100体积%的溶剂,其余为水。在一个或多个示例中,预冲洗液包括丙酮与去离子水的1∶1混合物。
25.预冲洗持续约5分钟至约60分钟、约10分钟至约50分钟、约15分钟至约45分钟、约20分钟至约40分钟或约30分钟。可以在处于预冲洗液中时对工件100进行超声处理。预冲洗液可以被加热和/或保持在约20℃、约22℃、约25℃、约30℃至约35℃、约40℃、约50℃、约80℃或约100℃的温度。例如,可将预冲洗液加热和/或保持在约20℃至约100℃、约20℃至约50℃或约20℃至约30℃的温度下,例如在约22℃或约23℃的室温下。在预冲洗之后,可以可选地干燥工件100,例如在环境温度和空气中的压力下进行空气干燥,或者在环境温度或加热温度下暴露于来自鼓风机、风扇等的空气流、氮气(n2)、氩气或它们的混合物。
26.在一个或多个实施方式中,翻新航空部件110的方法200包括在操作210中将包含腐蚀部132的工件100暴露于水性清洁溶液。如图1a所示,腐蚀部132(例如第1阶段腐蚀部132)包括在氧化铝层130的第一部分上,而氧化铝层130的第二部分没有腐蚀部132。在操作220,用水性清洁溶液从氧化铝层130的第一部分去除腐蚀部132以露出氧化铝层130的第一部分,如图1b中所示。氧化铝层130的第一部分通常在氧化铝层130的上表面下方的形成于氧化铝层130中的一个或多个空隙或空间134内。
27.水性清洁溶液包含水、一种或多种螯合剂或络合剂和一种或多种碱(例如氢氧化物)。示例性螯合剂或络合剂可以是或包括草酸、柠檬酸、联吡啶、邻苯二胺、乙二胺(eda)、次氮基三乙酸(nta)、亚氨基二乙酸、吡啶甲酸、(1,1,1)-三氟乙酰丙酮、1,4,7-三氮杂环壬烷(tacn)、(n,n,n

,n
′‑
四(2-吡啶基甲基)-1,2-乙二胺(tpen)、乙二胺四乙酸(edta)、乙二胺二乙酸(edda)、乙二胺-n,n
′‑
二琥珀酸(edds)、乙二胺-n,n
′‑
双(2-羟基苯乙酸)(eddha)、1,4,7,10-四氮杂环十二烷-1,4,7,10-四乙酸(dota,1,2-双(邻氨基苯氧基)乙烷-n,n,n

,n
′‑
四乙酸)(bapta)、氨基乙基乙醇胺(aeea)、噻吩酰三氟丙酮、它们的盐、它们的加合物、它们的络合物或它们的任何组合。碱用于增加水性清洁溶液的ph值并且可以是或包括无机碱和/或有机碱。在一些示例中,一种或多种氢氧化物用作碱。示例性氢氧化物可以是或包括氢氧化钠、氢氧化钾、氢氧化锂、氢氧化铯、氢氧化铵或它们的任何组合。在一个或多个示例中,络合剂可以是或包括乙二胺四乙酸(edta)和/或它们的盐,碱可以是或包括一种或多种氢氧化物。
28.在操作210和220中,将包含航空部件110的工件100暴露于水性清洁溶液约0.5小时、约0.8小时、约1小时或约1.5小时至约2小时、约2.5小时、约3小时、约4小时、约5小时或更长。例如,将工件100暴露于水性清洁溶液约0.5小时至约5小时、约1小时至约5小时、约2小时至约5小时、约2.5小时至约5小时、约3小时至约5小时、约4小时至约5小时、约0.5小时至约4小时、约1小时至约4小时、约2小时至约4小时、约2.5小时至约4小时、约3小时至约4小时、约0.5小时至约3小时、约1小时至约3小时、约2小时至约3小时或约2.5小时至约3小时。可以在处于水性清洁溶液中时对工件100进行超声处理。
29.水性清洁溶液可以被加热和/或保持在约20℃、约22℃、约25℃、约30℃至约35℃、约40℃、约50℃、约80℃或约100℃的温度。例如,可以将水性清洁溶液加热和/或保持在约20℃至约100℃、约20℃至约50℃或约20℃至约30℃的温度下,例如在约22℃或约23℃的室温下。在操作220之后和操作230之前,可以可选地干燥工件100,例如在环境温度和空气中的压力下进行空气干燥,或者在环境温度或加热温度下暴露于来自鼓风机、风扇等的空气流、氮气(n2)、氩气或它们的混合物。
30.在一个或多个实施方式中,在操作220之后,包括氧化铝层130的第一部分和第二部分的工件100可以在操作230中暴露于后冲洗液。后冲洗液包括一种或多种有机溶剂和水。示例性有机溶剂可以是或包括丙酮、甲醇、乙醇、异丙醇、戊烷、己烷、乙醚或它们的组合。后冲洗液可以包括约10体积%、约20体积%、约30体积%、约40体积%或约50体积%至约60体积%、约70体积%、约80体积%、约90体积%,或约100体积%的溶剂,其余为水。在一个或多个示例中,后冲洗液包括丙酮与去离子水的1∶1混合物。
31.后冲洗持续约5分钟至约60分钟、约10分钟至约50分钟、约15分钟至约45分钟、约20分钟至约40分钟或约30分钟。可以在处于预冲洗液中时对包含航空部件110的工件100进行超声处理。可以将后冲洗液加热和/或保持在约20℃、约22℃、约25℃、约30℃至约35℃、约40℃、约50℃、约80℃或约100℃的温度。例如,可以将后冲洗液加热和/或保持在约20℃至约100℃、约20℃至约50℃或约20℃至约30℃的温度下,例如在约22℃或约23℃的室温下。
32.在后冲洗之后,工件100可以可选地在操作240中干燥。在一些示例中,航空部件110可以在环境温度和空气中的压力下风干。在其他示例中,工件100可以在环境温度或加热温度下暴露于来自鼓风机、风扇等的空气流、氮气(n2)、氩气或它们的混合物。
33.在操作250处,可以在氧化铝层130的第一部分和第二部分上沉积或以其他方式形成保护涂层140,如图1c所示。氧化铝层130的第一部分在形成于氧化铝层130中的一个或多个空隙或空间134内。保护涂层140被沉积或以其他方式共形地形成在氧化铝层130的第一部分和第二部分上和之上,包括在空隙或空间134内。在一个或多个实施方式中,保护涂层140包括氧化铬、氧化铝、氮化铝、氧化铪、氧化钛、氮化钛、氧化钽、氮化钽、它们的掺杂物或它们的任何组合中的一种或多种。在其他实施方式中,保护涂层140可以是或包括本文描述和讨论的保护涂层、纳米层叠膜堆叠、材料、层或它们的组合中的任何一种或多种。保护涂层140的厚度为约1nm至约10,000nm,如下文进一步描述和讨论的。
34.图2b是示出方法200b的流程图,其是方法200a的示例性型式,在翻新工艺中具有可选操作。在一个或多个示例中,可以通过方法200b处理包含具有第1阶段的腐蚀部的航空部件110的工件100,其包括:(1)将工件100暴露于预冲洗液;可选地干燥工件100;(2)将包括氧化铝层130的工件100暴露于水性清洁溶液;可选地干燥工件100;(3)将包含氧化铝层130的工件100暴露于后冲洗液;可选地干燥工件100;(4)在氧化铝层130的第一部分和第二部分之上和上形成保护涂层140。
35.在一些示例中,方法200b可以包括:(1a)将工件100暴露于包含去离子水和一种或多种有机溶剂的组合的预冲洗液,同时在室温(例如,约23℃)超声处理约30分钟;(1b)用氮气枪的氮气干燥工件100;(2a)将包括氧化铝层130的工件100暴露于包含一种或多种螯合剂和一种或多种碱性溶液的组合的水性清洁溶液,同时在室温下(例如,约23℃)超声处理
约3小时;(2b)用氮气枪的氮气干燥工件100;(3a)将包括氧化铝层130的工件100暴露于包含去离子水和一种或多种有机溶剂的组合的后冲洗液,同时在室温下(例如,约23℃)超声处理约60分钟;(3b)干燥工件100;(4)在氧化铝层130的第一部分和第二部分之上和上共形地形成保护涂层140。
36.图3a至图3d描绘了包括航空部件110的工件300的示意图,该航空部件110具有第2阶段的腐蚀部并且在另一翻新工艺的不同阶段或操作中被处理。图4a是示出方法400a、翻新工艺的流程图,其包括在图3a至图3d中描绘的工件300上执行的翻新工艺的一些不同阶段或操作。
37.工件300具有设置在航空部件110的镍超合金表面上的一个或多个铝化物层120和设置在铝化物层120上的一个或多个氧化铝层130,如图3a所示。腐蚀部132包括在氧化铝层130的上部和氧化铝层130的下部中。在氧化铝层130的下部,一些腐蚀部(被描绘为腐蚀部132a)位于氧化铝层130的上表面下方,使得从工件300的上方看不到腐蚀部132a。铝化物层120和航空部件110的超合金在腐蚀的第2阶段基本上或完全没有腐蚀部132。
38.在一些实施方式中,在操作410中将包含航空部件110的工件300暴露于酸性清洁溶液以去除腐蚀部之前,可以将工件300暴露于一个或多个处理和/或溶液。工件300可暴露于预冲洗液、水性清洁溶液和一种或多种额外冲洗液。
39.预冲洗液包括一种或多种有机溶剂和水。示例性有机溶剂可以是或包括丙酮、甲醇、乙醇、异丙醇、戊烷、己烷、乙醚或它们的组合。预冲洗液可以包括约10体积%、约20体积%、约30体积%、约40体积%或约50体积%至约60体积%、约70体积%、约80体积%、约90体积%或约100体积%的溶剂,其余为水。在一个或多个示例中,预冲洗液包括丙酮与去离子水的1∶1混合物。
40.预冲洗持续约5分钟至约60分钟、约10分钟至约50分钟、约15分钟至约45分钟、约20分钟至约40分钟或约30分钟。可以在处于预冲洗液中时对工件300进行超声处理。预冲洗液可以被加热和/或保持在约20℃、约22℃、约25℃、约30℃至约35℃、约40℃、约50℃、约80℃或约100℃的温度下。例如,可将预冲洗液加热和/或保持在约20℃至约100℃、约20℃至约50℃或约20℃至约30℃的温度下,例如在约22℃或约23℃的室温下。在预冲洗之后,可以可选地干燥工件300,例如在环境温度和空气中的压力下进行空气干燥,或者在环境温度或加热温度下暴露于来自鼓风机、风扇等的空气流、氮气(n2)、氩气或它们的混合物。
41.在一个或多个实施方式中,在操作410之前,包含航空部件110的工件300可以暴露于水性清洁溶液。在操作210和220中针对水性清洁溶液描述的溶液和工艺条件可以在操作410之前用于工件300。
42.如图3a所示,腐蚀部132(例如第2阶段腐蚀部132)包括在氧化铝层130的第一部分上,而氧化铝层130的第二部分也包含氧化铝层130的上表面下方的腐蚀部132a。水性清洁溶液从氧化铝层130的第一部分去除腐蚀部132以暴露氧化铝层130的第一部分,但是未能从氧化铝层130的上表面下方去除腐蚀部132a,如图3b所示。氧化铝层130的第一部分通常在氧化铝层130的上表面下方的形成于氧化铝层130中的一个或多个空隙或空间134内。
43.水性清洁溶液包含水、一种或多种螯合剂或络合剂和一种或多种碱(例如氢氧化物)。示例性螯合剂或络合剂可以是或包括草酸、柠檬酸、联吡啶、邻苯二胺、乙二胺(eda)、次氮基三乙酸(nta)、亚氨基二乙酸、吡啶甲酸、(1,1,1)-三氟乙酰丙酮、1,4,7-三氮杂环壬
烷(tacn)、(n,n,n

,n
′‑
四(2-吡啶基甲基)-1,2-乙二胺(tpen)、乙二胺四乙酸(edta)、乙二胺二乙酸(edda)、乙二胺-n,n
′‑
二琥珀酸(edds)、乙二胺-n,n
′‑
双(2-羟基苯乙酸)(eddha)、1,4,7,10-四氮杂环十二烷-1,4,7,10-四乙酸(dota,1,2-双(邻氨基苯氧基)乙烷-n,n,n

,n
′‑
四乙酸)(bapta)、氨基乙基乙醇胺(aeea)、噻吩酰三氟丙酮、它们的盐、它们的加合物、它们的络合物或它们的任何组合。碱用于增加水性清洁溶液的ph值并且可以是或包括无机碱和/或有机碱。在一些示例中,一种或多种氢氧化物用作碱。示例性氢氧化物可以是或包括氢氧化钠、氢氧化钾、氢氧化锂、氢氧化铯、氢氧化铵或它们的任何组合。在一个或多个示例中,络合剂可以是或包括乙二胺四乙酸(edta)和/或它们的盐,碱可以是或包括一种或多种氢氧化物。
44.在一个或多个示例中,将包含航空部件110的工件300暴露于水性清洁溶液约0.5小时、约0.8小时、约1小时或约1.5小时至约2小时、约2.5小时、约3小时、约4小时、约5小时或更长。例如,将工件300暴露于水性清洁溶液约0.5小时至约5小时、约1小时至约5小时、约2小时至约5小时、约2.5小时至约5小时、约3小时至约5小时、约4小时至约5小时、约0.5小时至约4小时、约1小时至约4小时、约2小时至约4小时、约2.5小时至约4小时、约3小时至约4小时、约0.5小时至约3小时、约1小时至约3小时、约2小时至约3小时或约2.5小时至约3小时。可以在处于水性清洁溶液中时对工件300进行超声处理。
45.水性清洁溶液可以被加热和/或保持在约20℃、约22℃、约25℃、约30℃至约35℃、约40℃、约50℃、约80℃或约100℃的温度下。例如,可以将水性清洁溶液加热和/或保持在约20℃至约100℃、约20℃至约50℃或约20℃至约30℃的温度下,例如在约22℃或约23℃的室温下。在操作420之后和操作430之前,可以可选地干燥工件300,例如在环境温度和空气中的压力下进行空气干燥,或者在环境温度或加热温度下暴露于来自鼓风机、风扇等的空气流、氮气(n2)、氩气或它们的混合物。
46.在一些示例中,在暴露于水性清洁溶液之后,工件300可以暴露于冲洗液,例如具有去离子水、一种或多种有机溶剂或它们的组合。工件300可以暴露于冲洗液约5分钟至约60分钟、约10分钟至约50分钟、约15分钟至约45分钟、约20分钟至约40分钟或约30分钟。工件300可以可选地在被冲洗的同时进行超声处理。在冲洗后,可以可选地干燥工件300,例如在环境温度和空气中的压力下进行空气干燥,或者在环境温度或加热温度下暴露于来自鼓风机、风扇等的空气流、氮气(n2)、氩气或它们的混合物。
47.在一个或多个示例中,在将工件300暴露于酸性清洁溶液之前,将工件300暴露于预冲洗液约5分钟至约60分钟,并且工件300在包含一种或多种有机溶剂和水并且保持在约20℃至约50℃的温度下的预冲洗液中超声处理。在其他示例中,在将工件300暴露于酸性清洁溶液之前,将工件300暴露于水性清洁溶液约1小时至约5小时,航空部件110在水性清洁溶液中被超声处理,该水性清洁溶液包含水、一种或多种络合剂以及一种或多种碱,并且温度保持在约20℃至约50℃。
48.在一个或多个实施方式中,翻新航空部件110的方法400a包括在操作410中将包含腐蚀部132和/或132a的工件300暴露于酸性清洁溶液。在操作420,通过酸性清洁溶液将氧化铝层130和任何腐蚀部132和/或132a从工件300去除以露出铝化物层120,如图3c所示。
49.酸性清洁溶液含有一种更或多种酸,例如硫酸、亚硫酸、硝酸、亚硝酸、盐酸(例如,氯化氢)、高氯酸、氢氟酸(例如,氟化氢)、磷酸、柠檬酸、乙酸、甲酸(蚁酸)、它们的盐、它们
的加合物、它们的络合物或其任何的组合。酸性清洁溶液包含水和约5体积百分比(体积%)、约8体积%、约10体积%、约12体积%、约15体积%、约18体积%、或约20体积%至约22体积%%、约25体积%、约30体积%、约35体积%、约40体积%或约50体积%的一种或多种酸。例如,酸性清洁溶液含有约5体积%至约50体积%、约5体积%至约40体积%、约10体积%至约40体积%、约15体积%至约40体积%、约20体积%至约40体积%、约25体积%至约40体积%、约30体积%至约40体积%、约5体积%至约30体积%、约10体积%至约30体积%、约15体积%至约30体积%、约20体积%至约30体积%、约25体积%至约30体积%,约28体积%至约30体积%、约5体积%至约20体积%、约10体积%至约20体积%、约15体积%至约20体积%、约18体积%至约20体积%。在一个或多个示例中,酸性清洁溶液包含水和约10体积%至约40体积%的硫酸。
50.在操作410和420中,将包含航空部件110的工件300暴露于酸性清洁溶液约10分钟、约20分钟、约30分钟、约40分钟、约45分钟或约60分钟至约70分钟、约75分钟、约80分钟、约90分钟、约2小时、约2.5小时、约3小时或约4小时。例如,将工件300暴露于酸性清洁溶液约10分钟至约4小时、约10分钟至约3小时、约10分钟至约2小时、约10分钟至约90分钟、约10分钟至约60分钟、约10分钟至约45分钟、约10分钟至约30分钟、约10分钟至约20分钟、约30分钟至约4小时、约30分钟至约3小时、约30分钟至约2小时、约30分钟至约90分钟、约30分钟至约60分钟、约30分钟至约45分钟、约45分钟至约4小时、约45分钟至约3小时、约45分钟至约2小时、约45分钟至约90分钟或约45分钟至约60分钟。工件300可以在暴露于酸性清洁溶液的同时被机械搅拌或超声处理。
51.在操作410和420期间,可以将酸性清洁溶液加热和/或保持在约20℃、约22℃、约25℃、约35℃、约50℃或约70℃至约80℃、约90℃、约100℃、约120℃、约150℃或约200℃的温度下。例如,在操作410和420期间,可以将酸性清洁溶液加热和/或保持在约20℃至约200℃、约50℃至约150℃、约70℃至约100℃、约80℃至约90℃、约70℃至约75℃、或约80℃至约85℃的温度下。在一个或多个示例中,将航空部件110暴露于酸性清洁溶液约30分钟至约90分钟或约45分钟至约75分钟,同时酸性清洁溶液被搅拌并且温度保持在约50℃至约150℃、约70℃至约100℃或约80℃至约85℃。
52.在操作420之后和操作430之前,可以可选地干燥工件300,例如在环境温度和空气中的压力下进行空气干燥,或者在环境温度或加热温度下暴露于来自鼓风机、风扇等的空气流、氮气(n2)、氩气或它们的混合物。
53.在一些示例中,在暴露于酸性清洁溶液之后,工件300可以暴露于冲洗液,冲洗液例如具有去离子水、一种或多种有机溶剂或它们的组合。工件300可暴露于冲洗液约5分钟至约60分钟、约10分钟至约50分钟、约15分钟至约45分钟、约20分钟至约40分钟或约30分钟。工件300可以可选地在被冲洗的同时被超声处理。在冲洗后,可以可选地干燥工件300,例如在环境温度和空气中的压力下进行空气干燥,或者在环境温度或加热温度下暴露于来自鼓风机、风扇等的空气流、氮气(n2)、氩气或它们的混合物。
54.随后,包含航空部件110的工件300可以暴露于水性清洁溶液和本文描述和讨论的干燥过程。在一个或多个示例中,在将工件300暴露于酸性清洁溶液之后,将工件300暴露于预冲洗液约5分钟至约60分钟,并且在预冲洗液中对工件300进行超声处理,预冲洗液包含一种或多的有机溶剂和水,并且温度保持在约20℃至约50℃。在其他示例中,在将工件300
暴露于酸性清洁溶液之后,将工件300暴露于水性清洁溶液约1小时至约5小时,并且航空部件110在水性清洁溶液中被超声处理,水性清洁溶液包含水、一种或多种络合剂和一种或多种碱,并且温度保持在约20℃至约50℃。
55.在操作430处,工件300可以暴露于包含一种或多种有机溶剂和水的后冲洗液。示例性有机溶剂可以是或包括丙酮、甲醇、乙醇、异丙醇、戊烷、己烷、乙醚或它们的组合。后冲洗液可以包括约10体积%、约20体积%、约30体积%、约40体积%或约50体积%至约60体积%、约70体积%、约80体积%、约90体积%,或约100体积%的溶剂,其余为水。在一个或多个示例中,后冲洗液包括丙酮与去离子水的1∶1混合物。
56.后冲洗持续约5分钟至约3小时、约20分钟至约2小时、约30分钟至约90分钟、约45分钟至约75分钟,例如约60分钟。工件300可以在处于后冲洗液中时进行超声处理。可以将后冲洗液加热和/或保持在约20℃、约22℃、约25℃、约30℃至约35℃、约40℃、约50℃、约80℃或约100℃的温度下。例如,可将后冲洗液加热和/或保持在约20℃至约100℃、约20℃至约50℃或约20℃至约30℃的温度下,例如在约22℃或约23℃的室温下。
57.在操作430中,可以可选地干燥工件300,例如在环境温度和空气中的压力下进行空气干燥,或者在环境温度或加热温度下暴露于来自鼓风机、风扇等的空气流、氮气(n2)、氩气或它们的混合物。
58.在一个或多个示例中,将铝化物层120暴露于后冲洗液约10分钟至约90分钟,并且工件300在后冲洗液中被超声处理,后冲洗液包含一种或多种有机溶剂和水,并且温度保持在约20℃至约50℃。
59.在操作450中,保护涂层140可以沉积或以其他方式形成在工件300上,例如在铝化物层120上,如图3d所示。保护涂层140沉积或以其他方式共形地形成在铝化物层120之上或上。在一个或多个实施方式中,保护涂层140包含氧化铬、氧化铝、氮化铝、氧化铪、氧化钛、氮化钛、氧化钽、氮化钽、它们的掺杂物或它们的任何组合中的一种或多种。在其他实施方式中,保护涂层140可以是或包括本文描述和讨论的保护涂层、纳米层叠膜堆叠、材料、层或它们的组合中的任何一种或多种。保护涂层140的厚度为约1nm至约10,000nm,如下文进一步描述和讨论的。
60.图4b是示出方法400b的流程图,其是方法400a的示例性型式,在翻新工艺中具有可选操作。在一个或多个示例中,可以通过方法400b处理包含具有阶段2腐蚀的航空部件110的工件300,其包括:(1)将工件300暴露于预冲洗液;可选地干燥工件300;(2)可选地,将包括氧化铝层130的工件300暴露于水性清洁溶液;可选地干燥工件300;(3)可选地使包括氧化铝层130的工件300暴露于另一冲洗液(例如,类似于预冲洗液或后冲洗液的水与有机溶剂的混合物或水);可选地干燥工件300;(4)将包含氧化铝层130的工件300暴露于含有硫酸的酸性清洁溶液;可选地干燥工件300;(5)可选地使包括氧化铝层130的工件300暴露于另一冲洗液(例如,类似于预冲洗液或后冲洗液的水与有机溶剂的混合物或水);可选地干燥工件300;(6)可选地将工件300暴露于水性清洁溶液;可选地干燥工件300;(7)将包括氧化铝层130的工件300暴露于后冲洗液;可选地干燥工件300;及(8)在铝化物层120的至少一部分(例如第二部分)之上和上形成保护涂层140。
61.在一些示例中,方法400b可以包括:(1a)将工件300暴露于包含去离子水和一种或多种有机溶剂的组合的预冲洗液,同时在室温(例如,约23℃)下超声处理约30分钟;(1b)用
氮气枪的氮气干燥工件300;(2a)可选地将包括氧化铝层130的工件300暴露于含有一种或多种螯合剂和一种或多种碱性溶液的组合的水性清洁溶液,同时在室温下(例如,约23℃)超声处理约3小时;(2b)用氮气枪的氮气干燥工件300;(3a)将包括氧化铝层130的工件300暴露于含有去离子水的冲洗液,同时超声处理约1小时;(3b)用氮气枪的氮气干燥工件300;(4a)将包括氧化铝层130的工件300暴露于含有硫酸(约10v/v%至约40v/v%)的酸性清洁溶液,同时在约50℃至约100℃的温度下进行机械搅拌约1小时;(4b)用氮气枪的氮气干燥工件300;(5a)将包括氧化铝层130的工件300暴露于含有去离子水的冲洗液,同时超声处理约1小时;(5b)用氮气枪的氮气干燥工件300;(6a)将工件300暴露于含有一种或多种螯合剂和一种或多种碱性溶液的组合的水性清洁溶液,同时在室温(例如,约23℃)下超声处理约3小时;用氮气枪的氮气干燥工件300;(7a)将包括氧化铝层130的工件300暴露于含有去离子水和一种或多种有机溶剂的组合的后冲洗液,同时在室温(例如,约23℃)下超声处理约60分钟;(7b)用氮气枪的氮气干燥工件300;以及(8)在铝化物层120的第二部分之上和上形成保护涂层140。
62.图5a至图5d描绘了包括航空部件110的工件500的示意图,该航空部件110具有第3阶段的腐蚀并且在另一翻新工艺的不同阶段或操作中被处理。图6a是示出方法600a、翻新工艺的流程图,其包括在图5a至图5d中描绘的工件500上执行的翻新工艺的一些不同阶段或操作。
63.翻新航空部件110的方法600a包括在操作610中将包括具有腐蚀部132的航空部件110的工件500暴露于酸性清洁溶液。航空部件110包括镍超合金、设置在镍超合金上的铝化物层120和设置在铝化物层120上的氧化铝层130。腐蚀部132(例如第3阶段腐蚀部132)包括在氧化铝层130上和氧化铝层130内,如图5a所示。在氧化铝层130的下部,一些腐蚀部(描绘为腐蚀部132b)位于氧化铝层130的上表面下方,并且可以延伸到铝化物层120的第一部分或上部部分中,使得从工件500的上方看不到腐蚀部132b。一些腐蚀部(描绘为腐蚀部132c)从氧化铝层130的上表面延伸,完全穿过氧化铝层130,并且进入铝化物层120的第一部分或上部部分中。航空部件110的超合金在腐蚀的第3阶段基本上或完全没有腐蚀部132。
64.方法600a包括在操作620中用酸性清洁溶液去除腐蚀部132、氧化铝层130和铝化物层120的第一部分以露出铝化物层120的第二部分,然后在操作630将工件500暴露于后冲洗液,在操作640将工件500暴露于干燥工艺,以及在操作650在铝化物层120的第二部分上形成保护涂层140。
65.在一些实施方式中,在操作610中将包含航空部件110的工件500暴露于酸性清洁溶液以去除腐蚀部之前,工件500可以暴露于一个或多个处理和/或溶液。工件500可以暴露于预冲洗液、水性清洁溶液和一种或多种额外冲洗液。
66.预冲洗液包括一种或多种有机溶剂和水。示例性有机溶剂可以是或包括丙酮、甲醇、乙醇、异丙醇、戊烷、己烷、乙醚或它们的组合。预冲洗液可以包括约10体积%、约20体积%、约30体积%、约40体积%或约50体积%至约60体积%、约70体积%、约80体积%、约90体积%或约100体积%的溶剂,其余为水。在一个或多个示例中,预冲洗液包括丙酮与去离子水的1:1混合物。
67.预冲洗持续约5分钟至约60分钟、约10分钟至约50分钟、约15分钟至约45分钟、约20分钟至约40分钟或约30分钟。工件500可在处于预冲洗液中时进行超声处理。可以将预冲
洗液加热和/或保持在约20℃、约22℃、约25℃、约30℃至约35℃、约40℃、约50℃、约80℃或约100℃的温度下。例如,可将预冲洗液加热和/或保持在约20℃至约100℃、约20℃至约50℃或约20℃至约30℃的温度下,例如在约22℃或约23℃的室温下。在预冲洗之后,可以可选地干燥工件500,例如在环境温度和空气中的压力下进行空气干燥,或者在环境温度或加热温度下暴露于来自鼓风机、风扇等的空气流、氮气(n2)、氩气或它们的混合物。
68.在一个或多个实施方式中,在操作610之前,可以将包含航空部件110的工件500暴露于水性清洁溶液。操作210和220中针对水性清洁溶液描述的溶液和工艺条件可以在操作610之前用于工件500。
69.如图5a所示,腐蚀部132(例如第3阶段的腐蚀部132)包含在氧化铝层130的第一部分上,而氧化铝层130的第二部分也包含位于氧化铝层130的上表面下方并延伸到铝化物层120中的腐蚀部132b。工件还具有从氧化铝层130的上表面延伸、完全穿过氧化铝层130并进入铝化物层120的第一部分或上部部分的腐蚀部132c。尽管未在图5a中描绘,但腐蚀部可以在氧化铝层130的上表面的下方,因此从工件上方不可见,但不延伸到铝化物层120中(例如,类似于图3a所示的腐蚀部132a)。航空部件110的超合金在腐蚀的第3阶段基本上或完全没有腐蚀部132。
70.如图5b所示,水性清洁溶液从氧化铝层130的第一部分去除腐蚀部132,以露出氧化铝层130的第一部分,并且从氧化铝层130和铝化物层120的第一部分或上部部分去除腐蚀部132c以露出氧化铝层130和铝化物层120的部分。水性清洁溶液未能从氧化铝层130的上表面下方去除腐蚀部132b。氧化铝层130的第一部分通常在氧化铝层130的上表面下方的形成于氧化铝层130中的一个或多个空隙或空间134、134a内。
71.水性清洁溶液包含水、一种或多种螯合剂或络合剂和一种或多种碱(例如氢氧化物)。示例性螯合剂或络合剂可以是或包括草酸、柠檬酸、联吡啶、邻苯二胺、乙二胺(eda)、次氮基三乙酸(nta)、亚氨基二乙酸、吡啶甲酸、(1,1,1)-三氟乙酰丙酮、1,4,7-三氮杂环壬烷(tacn)、(n,n,n

,n
′‑
四(2-吡啶基甲基)-1,2-乙二胺(tpen)、乙二胺四乙酸(edta)、乙二胺二乙酸(edda)、乙二胺-n,n
′‑
二琥珀酸(edds)、乙二胺-n,n
′‑
双(2-羟基苯乙酸)(eddha)、1,4,7,10-四氮杂环十二烷-1,4,7,10-四乙酸(dota,1,2-双(邻氨基苯氧基)乙烷-n,n,n

,n
′‑
四乙酸)(bapta)、氨基乙基乙醇胺(aeea)、噻吩酰三氟丙酮、它们的盐、它们的加合物、它们的络合物或它们的任何组合。碱用于增加水性清洁溶液的ph值并且可以是或包括无机碱和/或有机碱。在一些示例中,一种或多种氢氧化物用作碱。示例性氢氧化物可以是或包括氢氧化钠、氢氧化钾、氢氧化锂、氢氧化铯、氢氧化铵或它们的任何组合。在一个或多个示例中,络合剂可以是或包括乙二胺四乙酸(edta)和/或它们的盐,碱可以是或包括一种或多种氢氧化物。
72.在一个或多个示例中,将包含航空部件110的工件500暴露于水性清洁溶液约0.5小时、约0.8小时、约1小时或约1.5小时至约2小时、约2.5小时、约3小时、约4小时、约5小时或更长。例如,将工件500暴露于水性清洁溶液约0.5小时至约5小时、约1小时至约5小时、约2小时至约5小时、约2.5小时至约5小时、约3小时至约5小时、约4小时至约5小时、约0.5小时至约4小时、约1小时至约4小时、约2小时至约4小时、约2.5小时至约4小时、约3小时至约4小时、约0.5小时至约3小时、约1小时至约3小时、约2小时至约3小时或约2.5小时至约3小时。工件500可在处于水性清洁溶液中时进行超声处理。
73.可以将水性清洁溶液加热和/或保持在约20℃、约22℃、约25℃、约30℃至约35℃、约40℃、约50℃、约80℃或约100℃的温度下。例如,可将水性清洁溶液加热和/或保持在约20℃至约100℃、约20℃至约50℃或约20℃至约30℃的温度下,例如在约22℃或约23℃的室温下。在操作620之后和操作630之前,可以可选地干燥工件500,例如在环境温度和空气中的压力下进行空气干燥,或者在环境温度或加热温度下暴露于来自鼓风机、风扇等的空气流、氮气(n2)、氩气或它们的混合物。
74.在一些示例中,在暴露于水性清洁溶液之后,工件500可以暴露于冲洗液,冲洗液例如具有去离子水、一种或多种有机溶剂或它们的组合。工件500可暴露于冲洗液约5分钟至约60分钟、约10分钟至约50分钟、约15分钟至约45分钟、约20分钟至约40分钟或约30分钟。工件500可以可选地在被冲洗的同时被超声处理。在冲洗之后,可以可选地干燥工件500,例如在环境温度和空气中的压力下进行空气干燥,或者在环境温度或加热温度下暴露于来自鼓风机、风扇等的空气流、氮气(n2)、氩气或它们的混合物。
75.在一个或多个示例中,在将工件500暴露于酸性清洁溶液之前,将工件500暴露于预冲洗液约5分钟至约60分钟,并且工件500在包含一种或多种有机溶剂和水并且保持在约20℃至约50℃的温度下的预冲洗液中进行超声处理。在其他示例中,在将工件500暴露于酸性清洁溶液之前,将工件500暴露于水性清洁溶液约1小时至约5小时,并且航空部件110在水性清洁溶液中被超声处理,水性清洁溶液包含水、一种或多种络合剂和一种或多种碱,并且温度保持在约20℃至约50℃。
76.在一个或多个实施方式中,翻新航空部件110的方法600a包括在操作610中将包含腐蚀部132和/或132a的工件500暴露于酸性清洁溶液。在操作620中,通过酸性清洁溶液将氧化铝层130、铝化物层120的第一部分或上部部分、以及包括腐蚀部132、132b和/或132c的任何腐蚀部,从工件500去除以露出铝化物层120的第二部分或下部部分,如图5c所示。
77.酸性清洁溶液含有一种或多种酸,如氢氟酸(如氟化氢)、硝酸、磷酸、盐酸(如氯化氢)、高氯酸、硫酸、甲磺酸、乙酸、乳酸、它们的盐、它们的加合物、它们的络合物、或者其任何组合。酸性清洁溶液含有水和约0.1体积%、约0.2体积%、约0.5体积%、约0.8体积%、约1体积%、约1.5体积%、约2体积%、约3体积%、约4体积%、约5体积%、约8体积%、约10体积%、约12体积%、约15体积%、约18体积%或约20体积%至约22体积%、约25体积%、约30体积%、约35体积%、约40体积%或约50体积%的一种或多种酸。例如,酸性清洁溶液含有约0.1体积%至约50体积%、约0.5体积%至约50体积%、约1体积%至约50体积%、约2体积%至约50体积%、约3体积%至约50体积%、约5体积%至约50体积%、约5体积%至约40体积%、约10体积%至约40体积%、约15体积%至约40体积%、约20体积%至约40体积%、约25体积%至约40体积%、约30体积%至约40体积%、约0.1体积%至约30体积%、约0.5体积%至约30体积%、约1体积%至约30体积%、约2体积%至约30体积%、约3体积%至约30体积%、约5体积%至约30体积%、约10体积%至约30体积%、约15体积%至约30体积%、约20体积%至约30体积%、约25体积%至约30体积%、约28体积%至约30体积%、约0.1体积%至约20体积%、约0.5体积%约20体积%、约1体积%至约20体积%、约2体积%至约20体积%、约3体积%至约20体积%、约5体积%至约20体积%、约10体积%至约20体积%、约15体积%至约20体积%、约18体积%至约20体积%、约0.1体积%至约10体积%、约0.5体积%至约10体积%、约1体积%至约10体积%、约2体积%至约10体积%、约3体积%至约10
体积%、约5体积%至约10体积%、约6体积%至约10体积%、约8体积%至约10体积%、约0.1体积%至约5体积%、约0.5体积%至约5体积%、约1体积%至约5体积%、约2体积%至约5体积%、约3体积%至约5体积%、或约4体积%至约5体积%。
78.在一个或多个示例中,酸性清洁溶液包含水、氟化氢和硝酸。在一些示例中,酸性清洁溶液包含约0.2体积%至约5体积%的氟化氢、约1体积%至约10体积%的硝酸,其余为水。在其他示例中,酸性清洁溶液包含约0.5体积%至约3体积%的氟化氢、约2体积%至约8体积%的硝酸,其余为水。在另外的示例中,酸性清洁溶液包含约0.8体积%至约1.2体积%的氟化氢、约3.5体积%至约7体积%的硝酸,其余为水。
79.在操作610和620中,将包含航空部件110的工件500暴露于酸性清洁溶液约10分钟、约20分钟、约30分钟、约40分钟、约45分钟、或约60分钟至约70分钟、约75分钟、约80分钟、约90分钟、约2小时、约2.5小时、约3小时或约4小时。例如,将工件500暴露于酸性清洁溶液约10分钟至约4小时、约10分钟至约3小时、约10分钟至约2小时、约10分钟至约90分钟、约10分钟至约60分钟、约10分钟至约45分钟、约10分钟至约30分钟、约10分钟至约20分钟、约30分钟至约4小时、约30分钟至约3小时、约30分钟至约2小时、约30分钟至约90分钟、约30分钟至约60分钟、约30分钟至约45分钟、约45分钟至约4小时、约45分钟至约3小时、约45分钟至约2小时、约45分钟至约90分钟、或约45分钟至约60分钟。工件500可以在暴露于酸性清洁溶液的同时被机械搅拌或超声处理。
80.在操作610和620期间,可将酸性清洁溶液加热和/或保持在约20℃、约22℃、约25℃、约35℃、约50℃或约70℃至约80℃、约90℃、约100℃、约120℃、约150℃或约200℃的温度。例如,在操作610和620期间,酸性清洁溶液可被加热和/或保持在约20℃至约200℃、约20℃至约100℃、约20℃至约80℃、约20℃至约50℃、约20℃至40℃、约20℃至约35℃、约20℃至约30℃、约20℃至约25℃或约20℃至约22℃的温度。在一个或多个示例中,将航空部件110暴露于酸性清洁溶液约30分钟至约90分钟或约45分钟至约75分钟,同时酸性清洁溶液被搅拌并保持在约20℃至约50℃、约22℃至约35℃或约22℃至约25℃的温度。
81.在操作620之后和操作630之前,可以可选地干燥工件500,例如在环境温度和压力下在空气中干燥或在环境温度或加热温度下暴露于来自鼓风机、风扇等的空气流、氮气(n2)、氩气或它们的混合物。
82.在一些示例中,在暴露于酸性清洁溶液之后,工件500可以暴露于冲洗液,冲洗液例如具有去离子水、一种或多种有机溶剂或它们的组合。工件500可以暴露于冲洗液约5分钟至约60分钟、约10分钟至约50分钟、约15分钟至约45分钟、约20分钟至约40分钟或约30分钟。工件500可以可选地在被冲洗的同时进行超声处理。在冲洗之后,可以可选地干燥工件500,例如在环境温度和压力下在空气中干燥或在环境温度或加热温度下暴露于来自鼓风机、风扇等的空气流、氮气(n2)、氩气或它们的混合物。
83.随后,包含航空部件110的工件500可以暴露于水性清洁溶液和如本文描述和讨论的干燥过程。在一个或多个示例中,在将工件500暴露于酸性清洁溶液之后,将工件500暴露于预冲洗液约5分钟至约60分钟,并且在预冲洗液中对工件500进行超声处理,预冲洗液含有一种或多种有机溶剂和水,并且温度保持在约20℃至约50℃。在其他示例中,在将工件500暴露于酸性清洁溶液之后,将工件500暴露于水性清洁溶液约1小时至约5小时,并且航空部件110在水性清洁溶液中被超声处理,水性清洁溶液包含水、一种或多种络合剂和一种
或多种碱,并保持在约20℃至约50℃的温度下。
84.在操作630,工件500可以暴露于包含一种或多种有机溶剂和水的后冲洗液。示例性有机溶剂可以是或包括丙酮、甲醇、乙醇、异丙醇、戊烷、己烷、乙醚或它们的组合。后冲洗液可以包括约10体积%、约20体积%、约30体积%、约40体积%或约50体积%至约60体积%、约70体积%、约80体积%、约90体积%或约100体积%的溶剂,其余为水。在一个或多个示例中,后冲洗液包括丙酮与去离子水的1∶1混合物。
85.后冲洗持续约5分钟至约3小时、约20分钟至约2小时、约30分钟至约90分钟、约45分钟至约75分钟,例如约60分钟。工件500可以在处于后冲洗液中时进行超声处理。后冲洗液可被加热和/或保持在约20℃、约22℃、约25℃、约30℃至约35℃、约40℃、约50℃、约80℃或约100℃的温度下。例如,可将后冲洗液加热和/或保持在约20℃至约100℃、约20℃至约50℃或约20℃至约30℃的温度下,例如在约22℃或约23℃的室温下。
86.在操作630,可以可选地干燥工件500,例如在环境温度和空气中的压力下进行空气干燥,或者在环境温度或加热温度下暴露于来自鼓风机、风扇等的空气流、氮气(n2)、氩气或它们的混合物。
87.在一个或多个示例中,将铝化物层120暴露于后冲洗液约10分钟至约90分钟,工件500在后冲洗液中被超声处理,并且后冲洗液含有一种或多种有机溶剂和水,并且温度保持在约20℃至约50℃。
88.在操作650中,保护涂层140可以沉积或以其他方式形成在工件500上,例如在铝化物层120上,如图5d所示。保护涂层140被沉积或以其他方式保形地形成在铝化物层120之上和上。在一个或多个实施方式中,保护涂层140包含氧化铬、氧化铝、氮化铝、氧化铪、氧化钛、氮化钛、氧化钽、氮化钽、它们的掺杂物或它们的任何组合中的一种或多种。在其他实施方式中,保护涂层140可以是或包括本文描述和讨论的保护涂层、纳米层叠膜堆叠、材料、层或它们的组合中的任何一种或多种。保护涂层140的厚度为约1nm至约10,000nm,如下文进一步描述和讨论的。
89.图6b是说明方法600b的流程图,该方法是方法600a的示例性型式,在翻新工艺中具有可选操作。在一个或多个示例中,包含具有第3阶段的腐蚀的航空部件110的工件500可以通过方法600b处理,其包括:(1)将工件500暴露于预冲洗液;可选地干燥工件500;(2)可选地,将包括氧化铝层130的工件500暴露于水性清洁溶液;可选地干燥工件500;(3)可选地使包括氧化铝层130的工件500暴露于另一冲洗液(例如,类似于预冲洗液或后冲洗液的水与有机溶剂的混合物或水);可选地干燥工件500;(4)将包括氧化铝层130的工件500暴露于一种或多种酸、酸的混合物、和/或含有氢氟酸和硝酸的混合物(例如,hf:hno3混合物)的酸性清洁溶液;可选地干燥工件500;(5)可选地使包括氧化铝层130的工件500暴露于另一冲洗液(例如,类似于预冲洗液或后冲洗液的水与有机溶剂的混合物或水);可选地干燥工件500;(6)可选地将工件500暴露于水性清洁溶液;可选地干燥工件500;(7)将包括氧化铝层130的工件500暴露于后冲洗液;可选地干燥工件500;及(8)在铝化物层120的至少一部分(例如第二部分)之上和上形成保护涂层140。
90.在一些示例中,方法600b可以包括:(1a)将工件500暴露于包含去离子水和一种或多种有机溶剂的组合的预冲洗液,同时在室温下(例如,约23℃)超声处理约30分钟;(1b)用氮气枪的氮气干燥工件500;(2a)可选地将包括氧化铝层130的工件500暴露于包含一种或
多种螯合剂和一种或多种碱性溶液的组合的水性清洁溶液,同时在室温下(例如,约23℃)超声处理约3小时;(2b)用氮气枪的氮气干燥工件500;(3a)将包括氧化铝层130的工件500暴露于含有去离子水的冲洗液,同时超声处理约1小时;(3b)用氮气枪的氮气干燥工件500;(4a)将包括氧化铝层130的工件500暴露于酸性清洁溶液(例如,含有约0.8体积%至约1.2体积%的氟化氢、约3.5体积%至约7体积%的硝酸、其余为水的hf:hno3混合物),同时在室温(例如,约23℃)下机械搅拌约1小时;(4b)用氮气枪的氮气干燥工件500;(5a)将包括氧化铝层130的工件500暴露于含有去离子水的冲洗液,同时超声处理约1小时;(5b)用氮气枪的氮气干燥工件500;(6a)将工件500暴露于含有一种或多种螯合剂和一种或多种碱性溶液的组合的水性清洁溶液,同时在室温下(例如,约23℃)超声处理约3小时;用氮气枪的氮气干燥工件500;(7a)将包括氧化铝层130的工件500暴露于包含去离子水和一种或多种有机溶剂的组合的后冲洗液,同时在室温下(例如,约23℃)超声处理约60分钟;(7b)用氮气枪的氮气干燥工件500;及(8)在铝化物层120的第二部分之上和上形成保护涂层140。
91.替代清洁工艺
92.在操作250、450、650中沉积或以其他方式形成保护涂层140之前,工件100、300、500可以可选地暴露于一个或多个清洁工艺。在清洁工艺中,一种或多种污染物从航空部件上去除以产生清洁的表面。污染物可以是或包括酸、碱、氧化物、有机物或有机残留物、碳、油、土壤、微粒、碎屑和/或其他污染物或它们的任何组合。在工件100、300、500上产生保护涂层140之前去除这些污染物。
93.清洁工艺可以是或包括一种或多种喷砂或纹理化工艺、真空净化、溶剂清洗、酸清洗、碱性或碱清洗、湿法清洗、臭氧清洗、等离子清洗、超声处理、或它们的任何组合。一旦清洁和/或纹理化后,随后沉积的保护涂层对工件100、300、500的清洁表面或以其他方式改变的表面具有比未暴露于清洁工艺的情况更强的粘附力。
94.在一个或多个示例中,工件100、300、500的表面可以用珠子、沙子、碳酸盐或其他颗粒进行喷砂处理或以其他方式暴露于珠子、沙子、碳酸盐或其他颗粒,以从表面去除氧化物和其他污染物,并且/或者为航空部件的表面提供纹理化。在一些示例中,工件100、300、500可以放置在脉冲推拉系统内的腔室中,并暴露于净化气体或液体(例如,n2、ar、he、一种或多种醇类(甲醇、乙醇、丙醇和/或其他)、h2o或它们的任何组合)和真空净化的循环以从工件100、300、500上的小孔中去除碎屑。在其他示例中,工件100、300、500的表面可暴露于氢等离子体、氧或臭氧等离子体和/或氮等离子体,其可在等离子体室中或由远程等离子体系统产生。
95.在一些示例中,例如为了去除有机物或去除氧化物,工件100、300、500的表面可以暴露于氢等离子体,然后脱气,然后暴露于臭氧处理。在其他示例中,例如为了去除有机物,工件100、300、500的表面可以暴露于湿法清洁,其包括:浸泡在碱性脱脂溶液中,冲洗,将表面暴露于酸清洁(例如,硫酸、磷酸、盐酸、氢氟酸或它们的任何组合),冲洗及将表面暴露于去离子水超声浴。在一些示例中,例如为了去除氧化物,工件100、300、500的表面可以暴露于湿法清洁,其包括:将表面暴露于稀酸溶液(例如,醋酸、盐酸、氢氟酸或它们的组合),冲洗,及将表面暴露于去离子水超声浴。在一个或多个示例中,例如为了去除颗粒,工件100、300、500的表面可以暴露于超声处理(例如兆超声处理)和/或超临界流体(二氧化碳、水、一种或多种醇类)清洗,然后暴露于净化气体或液体(例如,空气、n2、ar、he、一种或多种醇类、
水或它们的任何组合)和真空净化的循环,以去除表面的颗粒并干燥表面。在一些示例中,工件100、300、500可以暴露于加热或干燥过程,例如将工件100、300、500加热到约50℃、约65℃或约80℃至约100℃、约120℃或约150℃的温度,并使表面暴露于净化气体。工件100、300、500可在烘箱中加热或暴露于灯以用于加热或干燥过程。可选地,可以迫使热气通过内部通道以加速干燥。可选地,可以在不加热或加热的情况下在还原气氛中干燥该部件。在各种实施方式中,工件100、300、500的清洁表面可以是工件100、300、500的一个或多个内表面和/或一个或多个外表面。
96.气相沉积工艺
97.在一个或多个实施方式中,一种用于在航空部件上沉积保护涂层的方法包括将航空部件顺序地暴露于铝前驱物和一种或多种反应物以通过ald工艺或pe-ald在航空部件的表面上形成含铝层。在其他实施方式中,一种用于在航空部件上沉积保护涂层的方法包括将航空部件同时暴露于铝前驱物和一种或多种反应物以通过cvd工艺或pe-cvd在航空部件的表面上形成含铝层。在一些实例中,反应物可以是或包含一种或多种氧化剂和/或一种或多种氮化剂。氧化剂可以是或包含水、臭氧、氧气(o2)、原子氧、一氧化二氮、一种或多种过氧化物(例如,过氧化氢、其他无机过氧化物、有机过氧化物)、一种或多种醇(例如,甲醇、乙醇、丙醇或更高级醇)、它们的等离子体或它们的任何组合。氮化剂可以是或包含氨、一氧化氮、原子氮、肼、它们的等离子体或它们的任何组合。含铝层包含氧化铝、氮化铝、氮氧化铝或它们的任何组合。
98.在其他实施方式中,一种用于在航空部件上沉积保护涂层的方法包括将航空部件顺序地暴露于铬前驱物和反应物以通过ald工艺在航空部件的表面上形成含铬层。含铬层包含金属铬、氧化铬、氮化铬、碳化铬、硅化铬或它们的任何组合。
99.在一些实施方式中,纳米层叠膜堆叠形成在航空部件的表面上,其中纳米层叠膜堆叠包含含铬层和第二沉积层的交替层。航空部件可以顺序地暴露于金属或硅前驱物和第二反应物以通过原子层沉积在表面上形成第二沉积层。第二沉积层包含氧化铝、氮化铝、氧化硅、氮化硅、碳化硅、氧化钇、氮化钇、氮化钇硅、氧化铪、氮化铪、硅化铪、硅酸铪、氧化钛、氮化钛、硅化钛、硅酸钛或它们的任何组合。包含含铬层和第二沉积层的交替层的纳米层叠膜堆叠可用作航空部件上的保护涂层。或者,在其他实施方式中,设置在航空部件上的纳米层叠膜堆叠可暴露于退火工艺以将纳米层叠膜堆叠转变成聚结膜,该聚结膜可用作航空部件上的保护涂层。
100.在一个或多个实施方式中,保护涂层包含纳米层叠膜堆叠,其包含一对或多对第一沉积层和第二沉积层,所述第一沉积层和第二沉积层顺序沉积或以其他方式形成在航空部件上。纳米层叠膜堆叠被图示为具有四对第一沉积层和第二沉积层,然而,纳米层叠膜堆叠可以包含任意数量的第一沉积层和第二沉积层,如下文进一步讨论的。例如,纳米层叠膜堆叠可包含一对第一沉积层和第二沉积层至约150对第一沉积层和第二沉积层。在未示出的其他实施方式中,保护涂层不是纳米层叠膜堆叠,而是包含沉积或以其他方式形成在航空部件上的第一沉积层或第二沉积层。在进一步的实施方式中,包含一对或多对第一沉积层和第二沉积层的纳米层叠膜堆叠最初被沉积,然后被转化为聚结膜或结晶膜。
101.在其他实施方式中,保护涂层包含纳米层叠膜堆叠。纳米层叠膜堆叠包含第一沉积层和第二沉积层,并且该方法还包括沉积2对至约500对第一沉积层和第二沉积层,同时
增加纳米层叠膜堆叠的厚度。在一个或多个示例中,每一对第一沉积层和第二沉积层可具有约0.2nm至约500nm的厚度。在一些示例中,该方法还包括对航空部件进行退火并将纳米层叠膜堆叠转化为聚结膜或结晶膜。
102.航空部件可以暴露于第一前驱物和第一反应物以通过气相沉积工艺在航空部件上形成第一沉积层。气相沉积工艺可以是ald工艺、等离子体增强ald(pe-ald)工艺、热化学气相沉积(cvd)工艺、等离子体增强cvd(pe-cvd)工艺、脉冲-cvd工艺或它们的任何组合。
103.在一个或多个实施方式中,气相沉积工艺是ald工艺,并且该方法包括将航空部件的表面顺序地暴露于第一前驱物和第一反应物以形成第一沉积层。ald工艺的每个循环包括将航空部件的表面暴露于第一前驱物、进行泵净化、将航空部件暴露于第一反应物以及进行泵净化以形成第一沉积层。第一前驱物和第一反应物的顺序可以颠倒,使得ald循环包括将航空部件的表面暴露于第一反应物、进行泵净化、将航空部件暴露于第一前驱物、以及进行泵净化以形成第一沉积层。
104.在一些实例中,在每个ald循环期间,航空部件暴露于第一前驱物约0.1秒至约10秒,暴露于第一反应物约0.1秒至约10秒,并且泵净化约0.5秒至约30秒。在其他示例中,在每个ald循环期间,航空部件暴露于第一前驱物约0.5秒至约3秒,暴露于第一反应物约0.5秒至约3秒,并且泵净化约1秒至约10秒。
105.每个ald循环重复2次、3次、4次、5次、6次、8次、约10次、约12次、或约15次至约18次、约20次、约25次、约30次、约40次、约50次、约65次、约80次、约100次、约120次、约150次、约200次、约250次、约300次、约350次、约400次、约500次、约800次、约1,000次或更多次以形成第一沉积层。例如,每个ald循环重复2次至约1,000次、2次至约800次、2次至约500次、2次至约300次、2次至约250次、2次至约200次、2次至约150次、2次至约120次、2次至约100次、2次至约80次、2次至约50次、2次至约30次、2次至约20次、2次至约15次、2次至约10次、2次至5次、约8次至约1,000次、约8次至约800次、约8次至约500次、约8次至约300次、约8次至约250次、约8次至约200次、约8次至约150次、约8次至约120次、约8次至约100次、约8次至约80次、约8次至约50次、约8次至约30次、约8次至约20次、约8次至约15次、约8次至约10次、约20次至约1,000次、约20次至约800次、约20次至约500次、约20次至约300次、约20次至约250次、约20次至约200次、约20次至约150次、约20次至约120次、约20次至约100次、约20次至约80次、约20次至约50次、约20次至约30次、约50次至约1,000次、约50次至约500次、约50次至约350次、约50次至约300次、约50次至约250次、约50次至约150次、或约50次至约100次以形成第一沉积层。
106.在其他实施方式中,气相沉积工艺是cvd工艺并且该方法包括将航空部件同时暴露于第一前驱物和第一反应物以形成第一沉积层。在ald工艺或cvd工艺期间,第一前驱物和第一反应物中的每一个可独立地包括一种或多种载气。在第一前驱物和第一反应物的暴露之间,一种或多种净化气体可以流过航空部件和/或流过处理室。在一些示例中,相同的气体可以用作载气和净化气体。示例性载气和净化气体可以独立地是或包括氮气(n2)、氩气、氦气、氖气、氢气(h2)或它们的任何组合中的一种或多种。
107.第一沉积层的厚度可以为约0.1nm、约0.2nm、约0.3nm、约0.4nm、约0.5nm、约0.8nm、约1nm、约2nm、约3nm、约5nm、约8nm、约10nm、约12nm、或约15nm至约18nm、约20nm、约25nm、约30nm、约40nm、约50nm、约60nm、约80nm、约100nm、约120nm或约150nm。例如,第一沉
积层的厚度可为约0.1nm至约150nm、约0.2nm至约150nm、约0.2nm至约120nm、约0.2nm至约100nm、约0.2nm至约80nm、约0.2nm至约50nm、约0.2nm至约40nm、约0.2nm至约30nm、约0.2nm至约20nm、约0.2nm至约10nm、约0.2nm至约5nm、约0.2nm至约1nm、约0.2nm至约0.5nm、约0.5nm至约150nm、约0.5nm至约120nm、约0.5nm至约100nm、约0.5nm至约80nm、约0.5nm至约50nm、约0.5nm至约40nm、约0.5nm至约30nm、约0.5nm至约20nm、约0.5nm至约10nm、约0.5nm至约5nm、约0.5nm至约1nm、约2nm至约150nm、约2nm至约120nm、约2nm至约100nm、约2nm至约80nm、约2nm至约50nm、约2nm至约40nm、约2nm至约30nm、约2nm至约20nm、约2nm至约10nm、约2nm至约5nm、约2nm至约3nm、约10nm至约150nm、约10nm至约120nm、约10nm至约100nm、约10nm至约80nm、约10nm至约50nm、约10nm至约40nm、约10nm至约30nm、约10nm至约20nm或约10nm至约15nm。
108.在一个或多个实施方式中,第一前驱物包含一种或多种铬前驱物、一种或多种铝前驱物或一种或多种铪前驱物。第一反应物包含一种或多种还原剂、一种或多种氧化剂、一种或多种氮化剂、一种或多种硅前驱物、一种或多种碳前驱物或它们的任何组合。在一些示例中,第一沉积层是含铬层,其可以是或包括金属铬、氧化铬、氮化铬、硅化铬、碳化铬或它们的任何组合。在其他示例中,第一沉积层是含铝层,其可以是或包括金属铝、氧化铝、氮化铝、硅化铝、碳化铝或它们的任何组合。在进一步的示例中,第一沉积层是含铪层,其可以是或包括金属铪、氧化铪、氮化铪、硅化铪、碳化铪或它们的任何组合。
109.铬前驱物可以是或包括一种或多种环戊二烯铬化合物、羰基铬化合物、乙酰丙酮铬化合物、二氮杂二烯基铬化合物、它们的取代物、它们的络合物、它们的加合物、它们的盐或它们的任何组合。示例性铬前驱物可以是或包括双(环戊二烯)铬(cp2cr)、双(五甲基环戊二烯)铬((me5cp)2cr)、双(异丙基环戊二烯)铬((iprcp)2cr)、双(乙苯)铬((etbz)2cr)、六羰基铬(cr(co)6)、乙酰丙酮铬(cr(acac)3,又称三(2,4-戊二酮)铬)、六氟乙酰丙酮铬(cr(hfac)3)、铬(lll)三(2,2,6,6-四甲基-3,5-庚二酸){cr(tmhd)3}、双(1,4-二叔丁基二氮杂二烯基)铬(ii)、它们的异构体、它们的络合物、它们的加合物、它们的盐或它们的任何组合。
110.铝前驱物可以是或包括一种或多种烷基铝化合物、一种或多种烷氧基铝化合物、一种或多种乙酰丙酮铝化合物、它们的取代物、它们的络合物、它们的加合物、它们的盐或它们的任何组合。示例性铝前驱物可以是或包括三甲基铝、三乙基铝、三丙基铝、三丁基铝、三甲氧基铝、三乙氧基铝、三丙氧基铝、三丁氧基铝、乙酰丙酮铝(al(acac)3,也称为三(2,4-戊二酮)铝)、六氟乙酰丙酮铝(al(hfac)3)、三二新戊酰基甲烷氧化铝(trisdipivaloylmethanatoaluminum)(dpm3al;(c
11h19
o2)3al)、它们的异构体、它们的络合物、它们的加合物、它们的盐或它们的任何组合。
111.在一个或多个示例中,前驱物是或包含一种或多种烷基铝化合物,例如三甲基铝(tma)。烷基铝化合物(例如,tma)具有大于95%、大于97%或大于99%的纯度,例如约99.3%、约99.5重量%、约99.7重量%或约99.9重量%至约99.95重量%、约99.99重量%、约99.995重量%、约99.999重量%、约99.9999重量%或更高。在一个或多个示例中,烷基铝化合物(例如,tma)具有99.5重量%或更高的纯度,例如约99.9重量%至约99.999重量%。
112.铪前驱物可以是或包括一种或多种铪环戊二烯化合物、一种或多种铪氨基化合物、一种或多种铪烷基化合物、一种或多种铪烷氧基化合物、它们的取代物、它们的络合物、
它们的加合物、它们的盐或它们的任何组合。示例性铪前驱物可以是或包括双(甲基环戊二烯)二甲基铪((mecp)2hfme2)、双(甲基环戊二烯)甲基甲氧基铪((mecp)2hf(ome)(me))、双(环戊二烯)二甲基铪((cp)2hfme2)、四(叔丁氧基)铪、异丙醇铪((ipro)4hf)、四(二甲基氨基)铪(tdmah)、四(二乙基氨基)铪(tdeah)、四(乙基甲基氨基)铪(temah)、它们的异构体、它们的络合物、它们的加合物、它们的盐或它们的任何组合。
113.钛前驱物可以是或包括一种或多种钛环戊二烯化合物、一种或多种钛氨基化合物、一种或多种钛烷基化合物、一种或多种钛烷氧基化合物、它们的取代物、它们的络合物、它们的加合物、它们的盐或它们的任何组合。示例性钛前驱物可以是或包括双(甲基环戊二烯)二甲基钛((mecp)2time2)、双(甲基环戊二烯)甲基甲氧基钛((mecp)2ti(ome)(me))、双(环戊二烯)二甲基钛((cp)2time2)、四(叔丁氧基)钛、异丙醇钛((ipro)4ti)、四(二甲基氨基)钛(tdmat)、四(二乙基氨基)钛(tdeat)、四(乙基甲基氨基)钛(temat)、它们的异构体、它们的络合物、它们的加合物、它们的盐或它们的任何组合。
114.在一个或多个示例中,第一沉积层是含铬层,其可以是或包括金属铬,并且第一反应物包含一种或多种还原剂。在一些示例中,第一沉积层是含铝层,其可以是或包括金属铝,并且第一反应物包含一种或多种还原剂。在其他示例中,第一沉积层是含铪层,其可以是或包括金属铪并且第一反应物包含一种或多种还原剂。示例性还原剂可以是或包括氢(h2)、氨、肼、一种或多种肼化合物、一种或多种醇、环己二烯、二氢吡嗪、含铝化合物、它们的加合物、它们的盐、它们的等离子体衍生物、或它们的任何组合。
115.在一些示例中,第一沉积层是含铬层,其可以是或包括氧化铬,并且第一反应物包含一种或多种氧化剂。在其他示例中,第一沉积层是含铝层,其可以是或包括氧化铝,并且第一反应物包含一种或多种氧化剂。在进一步的示例中,第一沉积层是含铪层,其可以是或包括氧化铪并且第一反应物包含一种或多种氧化剂。示例性氧化剂可以是或包括水(例如,蒸汽)、氧气(o2)、原子氧、臭氧、一氧化二氮、一种或多种过氧化物、一种或多种醇、它们的等离子体、或它们的任何组合。
116.在一个或多个示例中,第一沉积层是含铬层,其可以是或包括氮化铬,并且第一反应物包含一种或多种氮化剂。在其他示例中,第一沉积层是含铝层,其可以是或包括氮化铝,并且第一反应物包含一种或多种氮化剂。在一些示例中,第一沉积层是含铪层,其可以是或包括氮化铪并且第一反应物包含一种或多种氮化剂。示例性氮化剂可以是或包括氨、原子氮、一种或多种肼、一氧化氮、它们的等离子体、或它们的任何组合。
117.在一个或多个示例中,第一沉积层是含铬层,其可以是或包括硅化铬,并且第一反应物包含一种或多种硅前驱物。在一些示例中,第一沉积层是含铝层,其可以是或包括硅化铝,并且第一反应物包含一种或多种硅前驱物。在其他示例中,第一沉积层是含铪层,其可以是或包括硅化铪并且第一反应物包含一种或多种硅前驱物。示例性硅前驱物可以是或包括硅烷、乙硅烷、丙硅烷、四硅烷、戊硅烷、六硅烷、一氯硅烷、二氯硅烷、三氯硅烷、四氯硅烷、六氯硅烷、取代的硅烷、它们的等离子体衍生物或它们的任何组合。
118.在一些示例中,第一沉积层是含铬层,其可以是或包括碳化铬,并且第一反应物包含一种或多种碳前驱物。在其他示例中,第一沉积层是含铝层,其可以是或包括碳化铝,并且第一反应物包含一种或多种碳前驱物。在进一步示例中,第一沉积层是含铪层,其可以是或包括碳化铪,并且第一反应物包含一种或多种碳前驱物。示例性碳前驱物可以是或包括
一种或多种烷烃、一种或多种烯烃、一种或多种炔烃、它们的取代物、它们的等离子体、或它们的任何组合。
119.在一些实施方式中,航空部件可以暴露于第二前驱物和第二反应物以通过产生纳米层叠膜的ald工艺在第一沉积层上形成第二沉积层。第一沉积层和第二沉积层具有彼此不同的组成。在一些示例中,第一前驱物是与第二前驱物不同的前驱物,例如第一前驱物是第一种类金属源而第二前驱物是第二种类金属源并且第一种类金属和第二种类金属是不同的。
120.第二前驱物可以是或包括一种或多种铝前驱物、一种或多种铪前驱物、一种或多种钇前驱物或它们的任何组合。第二反应物可以是用作第一反应物的任何其他反应物。例如,第二反应物可以是或包括一种或多种还原剂、一种或多种氧化剂、一种或多种氮化剂、一种或多种硅前驱物、一种或多种碳前驱物或它们的任何组合,如上文所述和讨论的。在ald工艺期间,第二前驱物和第二反应物中的每一个可以独立地包括一种或多种载气。在第二前驱物和第二反应物的暴露之间,一种或多种净化气体可以流过航空部件和/或流过处理室。在一些示例中,相同的气体可以用作载气和净化气体。示例性载气和净化气体可以独立地是或包括氮气(n2)、氩气、氦气、氖气、氢气(h2)或它们的任何组合中的一种或多种。
121.在一个或多个实施方式中,第二沉积层包含氧化铝、氮化铝、氧化硅、氮化硅、碳化硅、氧化钇、氮化钇、氮化硅钇、氧化铪、氮化铪、硅化铪、硅酸铪、氧化钛、氮化钛、硅化钛、硅酸钛或它们的任何组合。在一个或多个示例中,如果第一沉积层包含氧化铝或氮化铝,则第二沉积层不包含氧化铝或氮化铝。类似地,如果第一沉积层包含氧化铪或氮化铪,则第二沉积层不包含氧化铪或氮化铪。
122.ald工艺的每个循环包括将航空部件暴露于第二前驱物,进行泵净化,将航空部件暴露于第二反应物,以及进行泵净化以形成第二沉积层。第二前驱物和第二反应物的顺序可以颠倒,使得ald循环包括将航空部件的表面暴露于第二反应物,进行泵净化,将航空部件暴露于第二前驱物,以及进行泵净化以形成第二沉积层。
123.在一个或多个示例中,在每个ald循环期间,将航空部件暴露于第二前驱物约0.1秒至约10秒,暴露于第二反应物约0.1秒至约10秒,并且泵净化约0.5秒至约30秒。在其他示例中,在每个ald循环期间,将航空部件暴露于第二前驱物约0.5秒至约3秒,暴露于第二反应物约0.5秒至约3秒,并且泵净化约1秒至约10秒。
124.每个ald循环重复2次、3次、4次、5次、6次、8次、约10次、约12次、或约15次至约18次、约20次、约25次、约30次、约40次、约50次、约65次、约80次、约100次、约120次、约150次、约200次、约250次、约300次、约350次、约400次、约500次、约800次、约1000次或更多次以形成第二沉积层。例如,每个ald循环重复2次至约1,000次、2次至约800次、2次至约500次、2次至约300次、2次至约250次、2次至约200次、2次至约150次、2次至约120次、2次至约100次、2次至约80次、2次至约50次、2次至约30次、2次至约20次、2次至约15次、2次至约10次、2次至5次、约8次至约1,000次、约8次至约800次、约8次至约500次、约8次至约300次、约8次至约250次、约8次至约200次、约8次至约150次、约8次至约120次、约8次至约100次、约8次至约80次、约8次至约50次、约8次至约30次、约8次至约20次、约8次至约15次、约8次至约10次、约20次至约1,000次、约20次至约800次、约20次至约500次、约20次至约300次、约20次至约250次、约20次至约200次、约20次至约150次、约20次至约120次、约20次至约100次、约20次至约80
次、约20次至约50次、约20次至约30次、约50次至约1,000次、约50次至约500次、约50次至约350次、约50次至约300次、约50次至约250次、约50次至约150次或约50次至约100次以形成第二沉积层。
125.第二沉积层的厚度可以为约0.1nm、约0.2nm、约0.3nm、约0.4nm、约0.5nm、约0.8nm、约1nm、约2nm、约3nm、约5nm、约8nm、约10nm、约12nm、或约15nm至约18nm、约20nm、约25nm、约30nm、约40nm、约50nm、约60nm、约80nm、约100nm、约120nm或约150nm。例如,第二沉积层的厚度可为约0.1nm至约150nm、约0.2nm至约150nm、约0.2nm至约120nm、约0.2nm至约100nm、约0.2nm至约80nm、约0.2nm至约50nm、约0.2nm至约40nm、约0.2nm至约30nm、约0.2nm至约20nm、约0.2nm至约10nm、约0.2nm至约5nm、约0.2nm至约1nm、约0.2nm至约0.5nm、约0.5nm至约150nm、约0.5nm至约120nm、约0.5nm至约100nm、约0.5nm至约80nm、约0.5nm至约50nm、约0.5nm至约40nm、约0.5nm至约30nm、约0.5nm至约20nm、约0.5nm至约10nm、约0.5nm至约5nm、约0.5nm至约1nm、约2nm至约150nm、约2nm至约120nm、约2nm至约100nm、约2nm至约80nm、约2nm至约50nm、约2nm至约40nm、约2nm至约30nm、约2nm至约20nm、约2nm至约10nm、约2nm至约5nm、约2nm至约3nm、约10nm至约150nm、约10nm至约120nm、约10nm至约100nm、约10nm至约80nm、约10nm至约50nm、约10nm至约40nm、约10nm至约30nm、约10nm至约20nm或约10nm至约15nm。
126.在一些示例中,第一沉积层是含铬层,其包含氧化铬、氮化铬或它们的组合,并且第二沉积层包含氧化铝、氮化硅、氧化铪、硅酸铪、氧化钛或它们的任何组合中的一种或多种。
127.在一个或多个实施方式中,保护涂层或纳米层叠膜堆叠可以包含1、2、3、4、5、6、7、8或9对第一沉积层和第二沉积层至约10、约12、约15、约20、约25、约30、约40、约50、约65、约80、约100、约120、约150、约200、约250、约300、约500、约800或约1,000对第一沉积层和第二沉积层。例如,纳米层叠膜堆叠可包含1至约1,000、1至约800、1至约500、1至约300、1至约250、1至约200、1至约150、1至约120、1至约100、1至约80、1至约65、1至约50、1至约30、1至约20、1至约15、1至约10、1至约8、1至约6、1至5、1至4、1至3、约5至约150、约5至约120、约5至约100、约5至约80、约5至约65、约5至约50、约5至约30、约5至约20、约5至约15、约5至约10、约5至约8、约5至约7、约10至约150、约10至约120、约10至约100、约10至约80、约10至约65、约10至约50、约10至约30、约10至约20、约10至约15、或约10至约12对第一沉积层和第二沉积层。
128.保护涂层或纳米层叠膜堆叠的厚度可为约1nm、约2nm、约3nm、约5nm、约8nm、约10nm、约12nm、约15nm、约20nm、约30nm、约50nm、约60nm、约80nm、约100nm或约120nm至约150nm、约180nm、约200nm、约250nm、约300nm、约350nm、约400nm、约500nm、约800nm、约1,000nm、约2,000nm、约3,000nm、约4,000nm、约5,000nm、约6,000nm、约7,000nm、约8,000nm、约9,000nm、约10,000nm或更厚。在一些示例中,保护涂层或纳米层叠膜堆叠可具有小于10μm(小于10,000nm)的厚度。例如,保护涂层或纳米层叠膜堆叠的厚度可为约1nm至小于10,000nm、约1nm至约8,000nm、约1nm至约6,000nm、约1nm至约5,000nm、约1nm至约3,000nm、约1nm至约2,000nm、约1nm至约1,500nm、约1nm至约1,000nm、约1nm至约500nm、约1nm至约400nm、约1nm至约300nm、约1nm至约250nm、约1nm至约200nm、约1nm至约150nm、约1nm至约100nm、约1nm至约80nm、约1nm至约50nm、约20nm至约500nm、约20nm至约400nm、约20nm至约300nm、约20nm至约250nm、约20nm至约200nm、约20nm至约150nm、约20nm至约100nm、约20nm
至约80nm、约20nm至约50nm、约30nm至约400nm、约30nm至约200nm、约50nm至约500nm、约50nm至约400nm、约50nm至约300nm、约50nm至约250nm、约50nm至约200nm、约50nm至约150nm、约50nm至约100nm、约80nm至约250nm、约80nm至约200nm、约80nm至约150nm、约80nm至约100nm、约50nm至约80nm、约100nm至约500nm、约100nm至约400nm、约100nm至约300nm、约100nm至约250nm、约100nm至约200nm、或约100nm至约150nm。
129.在一些实施方式中,纳米层叠膜堆叠可以可选地暴露于一个或多个退火工艺。在一些示例中,纳米层叠膜堆叠可以在退火工艺期间转化为聚结膜或结晶膜。在退火工艺期间,高温将纳米层叠膜堆叠内的各层聚结成单一结构,新的结晶组合体增强了聚结膜或结晶膜的完整性和保护性能。在其他示例中,纳米层叠膜堆叠可在退火工艺期间被加热和致密化,但仍保持为纳米层叠膜堆叠。退火工艺可以是或包括热退火、等离子体退火、紫外线退火、激光退火或它们的任何组合。
130.在退火工艺期间将设置在航空部件上的纳米层叠膜堆叠加热至约400℃、约500℃、约600℃或约700℃至约750℃、约800℃、约900℃、约1,000℃、约1,100℃、约1,200℃或更高的温度。例如,在退火工艺期间将设置在航空部件上的纳米层叠膜堆叠加热至约400℃至约1,200℃、约400℃至约1,100℃、约400℃至约1,000℃、约400℃至约900℃、约400℃至约800℃、约400℃至约700℃、约400℃至约600℃、约400℃至约500℃、约550℃至约1,200℃、约550℃至约1,100℃、约550℃至约1,000℃、约550℃至约900℃、约550℃至约800℃、约550℃至约700℃、约550℃至约600℃、约700℃至约1,200℃、约700℃至约1,100℃、约700℃至约1,000℃、约700℃至约900℃、约700℃至约800℃、约850℃至约1,200℃、约850℃至约1,100℃、约850℃至约1,000℃或约850℃至约900℃的温度。
131.在退火工艺期间纳米层叠膜堆叠可以处于低压真空(例如,从约0.1托至小于760托)、处于环境压力(例如,约760托)和/或处于高压(例如,从大于760托(1个大气压)到约3,678托(约5个大气压))。在退火工艺期间,纳米层叠膜堆叠可以暴露于包含一种或多种气体的气氛。在退火工艺期间使用的示例性气体可以是或包括氮气(n2)、氩气、氦气、氢气(h2)、氧气(o2)或它们的任何组合。退火工艺可进行约0.01秒至约10分钟。在一些示例中,退火工艺可以是热退火并且持续约1分钟、约5分钟、约10分钟或约30分钟至约1小时、约2小时、约5小时或约24小时。在其他示例中,退火工艺可以是激光退火或尖峰退火并且持续约1毫秒、约100毫秒、或约1秒至约5秒、约10秒或约15秒。
132.保护涂层或聚结膜或结晶膜的厚度可为约1nm、约2nm、约3nm、约5nm、约8nm、约10nm、约12nm、约15nm、约20nm、约30nm、约50nm、约60nm、约80nm、约100nm、或约120nm至约150nm、约180nm、约200nm、约250nm、约300nm、约350nm、约400nm、约500nm、约700nm、约850nm、约1,000nm、约1,200nm、约1,500nm、约2,000nm、约3,000nm、约4,000nm、约5,000nm、约6,000nm、约7,000nm、约8,000nm、约9,000nm、约10,000nm或更厚。在一些示例中,保护涂层或聚结膜或结晶膜可具有小于10μm(小于10,000nm)的厚度。例如,保护涂层或聚结膜或结晶膜的厚度可为约1nm至小于10,000nm、约1nm至约8,000nm、约1nm至约6,000nm、约1nm至约5,000nm、约1nm至约3,000nm、约1nm至约2,000nm、约1nm至约1,500nm、约1nm至约1,000nm、约1nm至约500nm、约1nm至约400nm、约1nm至约300nm、约1nm至约250nm、约1nm至约200nm、约1nm至约150nm、约1nm至约100nm、约1nm至约80nm、约1nm至约50nm、约20nm至约500nm、约20nm至约400nm、约20nm至约300nm、约20nm至约250nm、约20nm至约200nm、约20nm
至约150nm、约20nm至约100nm、约20nm至约80nm、约20nm至约50nm、约30nm至约400nm、约30nm至约200nm、约50nm至约500nm、约50nm至约400nm、约50nm至约300nm、约50nm至约250nm、约50nm至约200nm、约50nm至约150nm、约50nm至约100nm、约80nm至约250nm、约80nm至约200nm、约80nm至约150nm、约80nm至约100nm、约50nm至约80nm、约100nm至约500nm、约100nm至约400nm、约100nm至约300nm、约100nm至约250nm、约100nm至约200nm、或约100nm至约150nm。
133.在一个或多个实施方式中,保护涂层可具有相对高的均匀度。保护涂层可具有小于相应保护涂层厚度的50%、小于相应保护涂层厚度的40%或小于相应保护涂层厚度的30%的均匀性。保护涂层可具有厚度的约0%、约0.5%、约1%、约2%、约3%、约5%、约8%或约10%至约12%、约15%、约18%、约20%、约22%、约25%、约28%、约30%、约35%、约40%、约45%或小于厚度的50%的均匀性。例如,保护涂层可具有厚度的约0%至约50%、约0%至约40%、约0%至约30%、约0%至小于30%、约0%至约28%、约0%至约25%、约0%至约20%、约0%至约15%、约0%至约10%、约0%至约8%、约0%至约5%、约0%至约3%、约0%至约2%、约0%至约1%、约1%至约50%、约1%至约40%、约1%至约30%、约1%至小于30%、约1%至约28%、约1%至约25%、约1%至约20%、约1%至约15%、约1%至约10%、约1%约8%、约1%至约5%、约1%至约3%、约1%至约2%、约5%至约50%、约5%至约40%、约5%至约30%、约5%至小于30%、约5%至约28%、约5%至约25%、约5%至约20%、约5%至约15%、约5%至约10%、约5%至约8%、约10%至约50%、约10%至约40%、约10%至约30%、约10%至小于30%、约10%至约28%、约10%至约25%、约10%至约20%、约10%至约15%、或约10%至约12%的均匀性。
134.在一些实施方式中,保护涂层可以在整个材料中包含、形成有或以其他方式产生不同比例的金属,例如包含在基础金属中的一种或多种掺杂金属和/或一种或多种分级(grading)金属,其中任何金属可以处于任何化学氧化形式或状态(例如,氧化物、氮化物、硅化物、碳化物或它们的组合)。在一个或多个示例中,第一沉积层沉积至第一厚度并且第二沉积层沉积至第二厚度。第一厚度可以与第二厚度相同,或者第一厚度可以不同于(小于或大于)第二厚度。例如,第一沉积层可以通过两次或更多次(3、4、5、6、7、8、9、10或更多)ald循环进行沉积,以分别产生相同数量的子层(例如,每个ald循环一个子层),然后第二沉积层可以通过一个ald循环或小于或大于用于沉积第一沉积层的ald循环数的多个ald循环来沉积。在其他示例中,第一沉积层可以通过cvd沉积到第一厚度并且第二沉积层通过ald沉积到小于第一厚度的第二厚度。
135.在其他实施方式中,ald工艺可以用于沉积第一沉积层和/或第二沉积层,其中通过在ald工艺期间包括掺杂剂前驱物来对沉积材料掺杂。在一些示例中,掺杂剂前驱物可以包括在相对于用于沉积基础材料的ald循环的单独的ald循环中。在其他示例中,掺杂剂前驱物可以与ald循环期间使用的任何化学前驱物共同注入。在进一步的示例中,掺杂剂前驱物可以在ald循环期间与化学前驱物分开注入。例如,一个ald循环可以包括将航空部件暴露于:第一前驱物、泵净化、掺杂剂前驱物、泵净化、第一反应物和泵净化以形成沉积层。在一些示例中,一个ald循环可以包括将航空部件暴露于:掺杂剂前驱物、泵净化、第一前驱物、泵净化、第一反应物和泵净化以形成沉积层。在其他示例中,一个ald循环可包括将航空部件暴露于:第一前驱物、掺杂剂前驱物、泵净化、第一反应物和泵净化以形成沉积层。
136.在一个或多个实施方式中,第一沉积层和/或第二沉积层包含一种或多种基础材料和一种或多种掺杂材料。基础材料是或包含氧化铝、氧化铬或氧化铝和氧化铬的组合。掺杂材料为或包含铪、氧化铪、钇、氧化钇、铈、氧化铈、硅、氧化硅、它们的氮化物或它们的任何组合。本文所述的任何前驱物或试剂均可用作掺杂前驱物或掺杂剂。示例性铈前驱物可以是或包括一种或多种四(2,2,6,6-四甲基-3,5-庚二酮酸)铈(iv)(ce(tmhd)4)、三(环戊二烯)铈((c5h5)3ce)、三(丙基环戊二烯)铈([(c3h7)c5h4]3ce)、三(四甲基环戊二烯)铈([(ch3)4c5h]3ce)或它们的任何组合。
[0137]
在第一沉积层、第二沉积层、纳米层叠膜堆叠和/或聚结膜或结晶膜内掺杂材料的浓度可为约0.01原子百分比(原子%)、约0.05原子%、约0.08原子%、约0.1原子%、约0.5原子%、约0.8原子%、约1原子%、约1.2原子%、约1.5原子%、约1.8原子%或约2原子%至约2.5原子%、约3原子%、约3.5原子%、约4原子%、约5原子%、约8原子%、约10原子%、约15原子%、约20原子%、约25原子%、或约30原子%。例如,在第一沉积层、第二沉积层、纳米层叠膜堆叠和/或聚结膜或结晶膜内掺杂材料的浓度可为约0.01原子%至约30原子%、约0.01原子%至约25原子%、约0.01原子%至约20原子%、约0.01原子%至约15原子%、约0.01原子%至约12原子%、约0.01原子%至约10原子%、约0.01原子%至约8原子%、约0.01原子%至约5原子%、约0.01原子%至约4原子%、约0.01原子%至约3原子%、约0.01原子%至约2.5原子%、约0.01原子%至约2原子%、约0.01原子%至约1.5原子%、约0.01原子%至约1原子%、约0.01原子%至约0.5原子%、约0.01原子%至约0.1原子%、约0.1原子%至约30原子%、约0.1原子%至约25原子%、约0.1原子%至约20原子%、约0.1原子%至约15原子%、约0.1原子%至约12原子%、约0.1原子%至约10原子%、约0.1原子%至约8原子%、约0.1原子%至约5原子%、约0.1原子%至约4原子%、约0.1原子%至约3原子%、约0.1原子%至约2.5原子%、约0.1原子%至约2原子%、约0.1原子%至约1.5原子%、约0.1原子%至约1原子%、约0.1原子%至约0.5原子%、约1原子%至约30原子%、约1原子%至约25原子%、约1原子%至约20原子%、约1原子%至约15原子%、约1原子%至约12原子%、约1原子%至约10原子%、约1原子%至约8原子%、约1原子%至约5原子%、约1原子%至约4原子%、约1原子%至约3原子%、约1原子%至约2.5原子%、约1原子%至约2原子%、或约1原子%至约1.5原子%。
[0138]
在一个或多个实施方式中,保护涂层包括纳米层叠膜堆叠,所述纳米层叠膜堆叠具有包含氧化铝(或其他基础材料)的第一沉积层和包含氧化铪(或其他掺杂材料)的第二沉积层,或具有包含氧化铪(或其他掺杂材料)的第一沉积层和包含氧化铝(或其他基础材料)的第二沉积层。在一个或多个示例中,保护涂层包含氧化铝和氧化铪的组合、铪掺杂的氧化铝、铝酸铪或它们的任何组合。例如,保护涂层包括纳米层叠膜堆叠,所述纳米层叠膜堆叠具有含氧化铝的第一沉积层和含氧化铪的第二沉积层,或具有含氧化铪的第一沉积层和含氧化铝的第二沉积层。在其他示例中,保护涂层包括由氧化铝层和氧化铪层形成的聚结膜或结晶膜。在一个或多个实施方式中,保护涂层在含有氧化铝(或其他基础材料)的纳米层叠膜堆叠或聚结膜或结晶膜内具有浓度为约0.01原子%、约0.05原子%、约0.08原子%、约0.1原子%、约0.5原子%、约0.8原子%或约1原子%至约1.2原子%、约1.5原子%、约1.8原子%、约2原子%、约2.5原子%、约3原子%、约3.5原子%、约4原子%、约4.5原子%或约5原子%的铪(或其他掺杂材料)。例如,保护涂层在含有氧化铝(或其他基础材料)的纳
米层叠膜堆叠或聚结膜或结晶膜内具有浓度为约0.01原子%至约10原子%、约0.01原子%至约8原子%、约0.01原子%至约5原子%、约0.01原子%至约4原子%、约0.01原子%至约3原子%、约0.01原子%至约2.5原子%、约0.01原子%至约2原子%、约0.01原子%至约1.5原子%、约0.01原子%至约1原子%、约0.01原子%至约0.5原子%、约0.01原子%至约0.1原子%、约0.01原子%至约0.05原子%、约0.1原子%至约5原子%、约0.1原子%至约4原子%、约0.1原子%至约3原子%、约0.1原子%至约2.5原子%、约0.1原子%至约2原子%、约0.1原子%至约1.5原子%、约0.1原子%至约1原子%、约0.1原子%至约0.5原子%、约0.5原子%至约5原子%、约0.5原子%至约4原子%、约0.5原子%至约3原子%、约0.5原子%至约2.5原子%、约0.5原子%至约2原子%、约0.5原子%至约1.5原子%、约0.5原子%至约1原子%、约1原子%至约5原子%、约1原子%至约4原子%、约1原子%至约3原子%、约1原子%至约2.5原子%、约1原子%至约2原子%或约1原子%至约1.5原子%的铪(或其他掺杂材料)。
[0139]
图7a和图7b是根据本文描述和讨论的一个或多个实施方式的包含保护涂层730的航空部件700的示意图。图7a是航空部件700的透视图,图7b是航空部件700的截面图。保护涂层730可以是或包括保护涂层140(图1c、图3d和图5d)。类似地,航空部件700可以是或包括基板或航空部件110(图1a-图1c、图3a-图3d和图5a-图5d)。翻新的航空部件110或700可以通过本文描述和讨论的任何一种方法翻新、修理、形成或以其他方式生产。
[0140]
尽管航空部件700在图7a和7b中被示为涡轮叶片,但是这里描述和讨论的方法可以在其他类型的航空部件以及其他类型的基板和设备上执行或进行。本文所描述和讨论的航空部件,包括航空部件700,可以是或包括涡轮机、飞行器、航天器、风车、陆基发电系统、燃料系统的一个或多个部件、零件或其部分或其他可包括一个或多个涡轮机的设备(例如,发电机、压缩机、泵、涡轮风扇、增压器等)。示例性航空部件700和基板或航空部件110可以是或包括涡轮叶片、涡轮叶片根部(例如,枞树形或燕尾形)、涡轮盘、涡轮轮片、支撑构件、框架、肋、翅片、钉状翅片、燃料喷嘴、燃烧室衬里、燃烧室护罩、热交换器、燃料管线、燃料阀、任何其他暴露于燃料(例如航空燃料或喷气燃料)的零件或部分、内部冷却通道、或可以受益于在其上沉积保护涂层的任何其他航空部件或零件、或它们的任何组合。航空部件110、700通常具有约1mm、约1.5mm或约2mm至约3mm、约5mm、约8mm或约10mm的厚度。例如,航空部件110、700可具有约1毫米至约5毫米或约2毫米至约3毫米的厚度。
[0141]
航空部件700具有一个或多个外表面或外部表面710和一个或多个内表面或内部表面720。内部表面720可限定一个或多个空腔702,空腔702延伸或包含在航空部件700内。空腔702可以是设置在内部表面720之间的通道、通路、空间等。空腔702可具有一个或多个开口704、706和708。航空部件700内的每个空腔702通常具有大于1的纵横比(例如,长度除以宽度)。本文描述和讨论的方法提供在具有高纵横比(大于1)的内部表面720上和/或在空腔702内沉积和/或以其他方式形成保护涂层730。
[0142]
空腔702的纵横比可为约2、约3、约5、约8、约10或约12至约15、约20、约25、约30、约40、约50、约65、约80、约100、约120、约150、约200、约250、约300、约500、约800、约1,000或更多。例如,空腔702的纵横比可为约2至约1,000、约2至约500、约2至约200、约2至约150、约2至约120、约2至约100、约2至约80、约2至约50、约2至约40、约2至约30、约2至约20、约2至约10、约2至约8、约5至约1,000、约5至约500、约5至约200、约5至约150、约5至约120、约5至约
100、约5至约80、约5至约50、约5至约40、约5至约30、约5至约20、约5至约10、约5至约8、约10至约1,000、约10至约500、约10至约200、约10至约150、约10至约120、约10至约100、约10至约80、约10至约50、约10至约40、约10至约30、约10至约20、约20至约1,000、约20至约500、约20至约200、约20至约150、约20至约120、约20至约100、约20至约80、约20至约50、约20至约40、或约20至约30。
[0143]
航空部件700及其任何表面(包括一个或多个外表面或外部表面710和/或一个或多个内表面或内部表面720)可由一种或多种金属制成、包含该一种或多种金属或以其他方式包括该一种或多种金属,所述一种或多种金属诸如镍、一种或多种镍超合金、一种或多种镍铝合金、铝、铁、一种或多种不锈钢、钴、铬、钼、钛、超合金(例如,-2、-4、-4 或-10超合金,可从cannon-muskegon corporation购得)、一种或多种inconel合金、一种或多种hastelloy合金、一种或多种invar合金、一种或多种inovoco合金、它们的合金或它们的任何组合。在一个或多个实施方式中,航空部件700的主体包含镍,例如镍合金或镍超合金。在外表面或外部表面710和/或内表面或内部表面720上,航空部件700可具有设置在镍超合金上的一层或多层铝化物层和设置在铝化物层上的一层或多层氧化铝层。保护涂层730可以沉积、形成或以其他方式产生在航空部件700的包括位于外表面或外部表面710和/或内表面或内部表面720上的氧化铝层和/或铝化物层的任何表面上。
[0144]
如本文所述和讨论的,保护涂层可以是或包括被沉积或以其他方式形成在航空部件的任何表面上的层叠膜堆叠、聚结膜、结晶膜、分级组合物(graded composition)和/或单片膜中的一种或多种。在一些示例中,保护涂层包含约1%至约100%的氧化铬。保护涂层是共形的并且基本上按照表面拓扑结构(topology)涂覆粗糙的表面特征,包括在表面的开孔、盲孔和非视线区域中。保护涂层基本上不增加表面粗糙度,并且在一些实施方式中,保护涂层可以通过共形涂覆粗糙度直到它聚结而降低表面粗糙度。保护涂层可能包含来自沉积物的颗粒,这些颗粒明显大于航空部件的粗糙度,但被认为与单片膜分开。保护涂层基本上很好地粘附并且没有针孔。保护涂层的厚度可以在40%的1-σ范围内变化。在一个或多个实施方式中,厚度变化小于20%、10%、5%、1%或0.1%的1-σ。
[0145]
当航空部件暴露于空气、氧气、硫和/或硫化合物、酸、碱、盐(例如,na、k、mg、li,或ca盐)或它们的任何组合时,保护涂层提供腐蚀和氧化保护。在一些实施方式中,保护涂层提供防止焦炭沉积的保护。在正常操作期间或在从保护涂层清除任何积碳的清洁过程中,航空部件可能会暴露在这些条件下。在一个或多个实施方式中,当航空部件在诸如航空燃料、喷气燃料、煤油等燃料的存在下被加热时,保护涂层减少或抑制焦炭形成。在一些示例中,保护涂层可以是或包括一种或多种材料,例如氧化铝、氮化铝、氮氧化铝、氧化硅、氮化硅、氮氧化硅、氧化铬、氧化钽、氮化钽、氮氧化钽、它们的合金或它们的任何组合。
[0146]
本文所述的一个或多个实施方案包括使用产生第一材料(例如,氧化铬、氧化铝和/或氮化铝)和另一种辅助材料的交替纳米层叠的方法来保护下面的含铬合金的方法。辅助材料可以是或包括氧化铝、氮化铝、氮氧化铝、氧化硅、氮化硅、碳化硅、氧化钇、氮化钇、氮化钇硅、氧化铪、硅酸铪、硅化铪、氮化铪、氧化钛、氮化钛、硅化钛、硅酸钛、氧化钽、氮化钽、硅化钽、硅酸钽、它们的掺杂剂、它们的合金、或它们的任何组合中的一种或多种。所得膜可用作纳米层叠膜堆叠,或者该膜可进行退火处理,高温将膜聚结成单一结构,其中新的
晶体组合体增强了该覆盖膜的完整性和保护性能。
[0147]
在一个特定的实施方式中,通过气相输送以约5秒的预定脉冲长度将铬前驱物(在约0℃至约250℃的温度下)输送到航空部件。在此过程中,沉积反应器在氮载气流(总共约1,000sccm)下运行,腔室保持在约350℃的预定温度和约3.5托的压力。在铬前驱物的脉冲之后,腔室随后被泵送并在确定的时间内清除所有必需的气体和副产物。随后,水(或另一种氧化剂)在约3.5托的腔室压力下脉冲进入腔室约0.1秒。然后进行额外的腔室净化(或泵/净化)以除去反应器中的任何过量反应物和反应副产物。该过程根据需要重复多次以使目标氧化铬膜达到所需的膜厚度。
[0148]
对于辅助膜(例如:氧化铝),通过气相输送以约0.1秒的预定脉冲长度将前驱物三甲基铝(在约0℃至约30℃的温度下)输送到航空部件。在此过程中,沉积反应器在氮载气流(总共约100sccm)下运行,腔室保持在约150℃至约350℃的预定温度和约1托至约5托的压力。在三甲基铝的脉冲之后,腔室随后被泵送并在确定的时间内清除所有必需的气体和副产物。随后,在约3.5托的腔室压力下将水蒸气脉冲输入腔室中约0.1秒。然后进行额外的腔室净化以除去反应器中任何过量的反应物和反应副产物。该过程根据需要重复多次以使目标氧化铝膜达到所需的膜厚度。然后在约500sccm的惰性氮气流下将航空部件置于温度约500℃的退火炉中约一小时。
[0149]
本文描述和讨论的方法提供翻新的航空部件。这些方法用于去除航空部件的腐蚀部,并且在一些示例中,去除最少量的包含腐蚀部的保护涂层,然后在清洁的航空部件上沉积或以其他方式形成保护涂层。该方法包括在沉积保护涂层之前使用水性清洁溶液和/或酸性清洁溶液去除腐蚀部。保护涂层:(1)保护金属免受氧化和腐蚀,(2)能够在任意几何形状上具有相对较高的膜厚度和成分均匀性,(3)对金属具有相对较高的附着力,(4)足够薄,不会显著增加重量或在裸金属的当前设计实践之外降低疲劳寿命,和/或(5)在足够低的温度(例如500℃或更低)下沉积,不会导致金属的微观结构变化。
[0150]
本公开内容的实施方式进一步涉及以下段落1至55中的任何一项或多项:
[0151]
1、一种航空部件的翻新方法,包括:将包含腐蚀部的所述航空部件暴露于水性清洁溶液,其中所述航空部件包括镍超合金、设置在所述镍超合金上的铝化物层和设置在所述铝化物层上的氧化铝层,并且其中所述腐蚀部被包含在所述氧化铝层的第一部分上,而所述氧化铝层的第二部分无腐蚀;使用所述水性清洁溶液从所述氧化铝层的第一部分去除腐蚀部以露出所述氧化铝层的第一部分;然后将所述氧化铝层的第一部分和第二部分暴露于后冲洗液;以及在所述氧化铝层的第一部分和第二部分上形成保护涂层。
[0152]
2、根据段落1所述的方法,其中所述水性清洁溶液包含水、络合剂和碱。
[0153]
3、根据段落1或2所述的方法,其中所述络合剂包括乙二胺四乙酸(edta)和/或它的盐,并且其中所述碱包括氢氧化物。
[0154]
4、根据段落1-3中任一项所述的方法,进一步包括:将所述航空部件暴露于所述水性清洁溶液约1小时至约5小时;在所述水性清洁溶液中超声处理所述航空部件;以及将所述水性清洁溶液保持在约20℃至约50℃的温度。
[0155]
5、根据段落1-4中任一项所述的方法,其中在将所述航空部件暴露于所述水性清洁溶液之前,所述方法进一步包括:将所述航空部件暴露于预冲洗液约5分钟至约60分钟;在所述预冲洗液中超声处理所述航空部件;以及将所述预冲洗液保持在约20℃至约50℃的
温度。
[0156]
6、根据段落1-5中任一项所述的方法,其中所述预冲洗液包括有机溶剂和水。
[0157]
7、根据段落1-6中任一项所述的方法,进一步包括:将所述氧化铝层的第一部分和第二部分暴露于后冲洗液约5分钟至约60分钟;在所述后冲洗液中超声处理所述航空部件;以及将所述后冲洗液保持在约20℃至约50℃的温度。
[0158]
8、根据段落1-7中任一项所述的方法,其中所述后冲洗液包括有机溶剂和水。
[0159]
9、根据段落1-8中任一项所述的方法,其中所述铝化物层包括铝化镍、铝化钛、铝化镁、铝化铁或它们的组合。
[0160]
10、根据段落1-9中任一项所述的方法,其中所述铝化物层的厚度为约20μm至约500μm。
[0161]
11、根据段落1-10中任一项所述的方法,其中所述氧化铝的厚度为约1μm至约50μm。
[0162]
12、根据段落1-11中任一项所述的方法,其中所述航空部件的厚度为约1mm至约5mm。
[0163]
13、根据段落1-12中任一项所述的方法,其中所述保护涂层包含氧化铬、氧化铝、氮化铝、氧化铪、氧化钛、氮化钛、氧化钽、氮化钽、它们的掺杂物或它们的任何组合。
[0164]
14、根据段落1-13中任一项所述的方法,其中所述保护涂层的厚度为约1nm至约10,000nm。
[0165]
15、一种航空部件的翻新方法,包括:将包含腐蚀部的航空部件暴露于酸性清洁溶液,其中所述航空部件包括镍超合金、设置在所述镍超合金上的铝化物层和设置在所述铝化物层上的氧化铝层,并且其中所述腐蚀部包含在所述氧化铝层上和所述氧化铝层内;使用所述酸性清洁溶液去除所述腐蚀部和所述氧化铝层以露出所述铝化物层;然后将所述铝化物层暴露于后冲洗液;以及在所述铝化物层上形成保护涂层。
[0166]
16、根据段落15所述的方法,其中所述酸性清洁溶液包括水和体积百分比(体积%)为约10体积%至约40体积%的硫酸。
[0167]
17、根据段落15或16所述的方法,进一步包括:将所述航空部件暴露于所述酸性清洁溶液约30分钟至约90分钟;在暴露所述航空部件的同时搅拌所述酸性清洁溶液;以及将所述酸性清洁溶液保持在约50℃至约150℃的温度。
[0168]
18、根据段落15-17中任一项所述的方法,其中在将所述航空部件暴露于所述酸性清洁溶液之前,所述方法进一步包括:将所述航空部件暴露于预冲洗液约5分钟至约60分钟;在所述预冲洗液中超声处理所述航空部件;以及将所述预冲洗液保持在约20℃至约50℃的温度。
[0169]
19、根据段落15-18中任一项所述的方法,其中所述预冲洗液包括有机溶剂和水。
[0170]
20、根据段落15-19中任一项所述的方法,其中在将所述航空部件暴露于所述酸性清洁溶液之前,所述方法进一步包括:将所述航空部件暴露于水性清洁溶液约1小时至约5小时;在所述水性清洁溶液中超声处理所述航空部件;以及将所述水性清洁溶液保持在约20℃至约50℃的温度。
[0171]
21、根据段落15-20中任一项所述的方法,其中所述水性清洁溶液包含水、络合剂和碱。
[0172]
22、根据段落15-21中任一项所述的方法,其中所述络合剂包括乙二胺四乙酸(edta)和/或它的盐,并且其中所述碱包括氢氧化物。
[0173]
23、根据段落15-22中任一项所述的方法,其中在将所述航空部件暴露于所述酸性清洁溶液之后,所述方法进一步包括:将所述铝化物层暴露于水性清洁溶液约1小时至约5小时;在所述水性清洁溶液中超声处理所述航空部件;以及将所述水性清洁溶液保持在约20℃至约50℃的温度。
[0174]
24、根据段落15-23中任一项所述的方法,其中所述水性清洁溶液包含水、络合剂和碱。
[0175]
25、根据段落15-24中任一项所述的方法,所述络合剂包括乙二胺四乙酸(edta)和/或它的盐,并且其中所述碱包括氢氧化物。
[0176]
26、根据段落15-25中任一项所述的方法,进一步包括:将所述铝化物层暴露于所述后冲洗液约10分钟至约90分钟;在所述后冲洗液中超声处理所述航空部件;以及将所述后冲洗液保持在约20℃至约50℃的温度。
[0177]
27、根据段落15-26中任一项所述的方法,其中所述后冲洗液包括有机溶剂和水。
[0178]
28、根据段落15-27中任一项所述的方法,其中所述铝化物层包含铝化镍、铝化钛、铝化镁、铝化铁或它们的组合。
[0179]
29、根据段落15-28中任一项所述的方法,其中所述铝化物层的厚度为约20μm至约500μm。
[0180]
30、根据段落15-29中任一项所述的方法,其中所述氧化铝的厚度为约1μm至约50μm。
[0181]
31、根据段落15-30中任一项所述的方法,其中所述航空部件的厚度为约1mm至约5mm。
[0182]
32、根据段落15-31中任一项所述的方法,其中所述保护涂层包含氧化铬、氧化铝、氮化铝、氧化铪、氧化钛、氮化钛、氧化钽、氮化钽、它们的掺杂物或它们的任何组合。
[0183]
33、根据段落15-32中任一项所述的方法,其中所述保护涂层的厚度为约1nm至约10,000nm。
[0184]
34、一种航空部件的翻新方法,包括:将包含腐蚀部的航空部件暴露于酸性清洁溶液,其中所述航空部件包括镍超合金、设置在所述镍超合金上的铝化物层和设置在所述铝化物层上的氧化铝层,并且其中所述腐蚀部包含在所述氧化铝层上和所述氧化铝层内以及所述铝化物层的第一部分;使用所述酸性清洁溶液去除所述腐蚀部、所述氧化铝层和所述铝化物层的第一部分以露出所述铝化物层的第二部分;然后将所述航空部件暴露于后冲洗液;以及在所述铝化物层的第二部分上形成保护涂层。
[0185]
35、根据段落34所述的方法,其中所述酸性清洁溶液包括水、氟化氢和硝酸。
[0186]
36、根据段落34或35所述的方法,其中所述酸性清洁溶液包括约0.2体积百分比(体积%)至约5体积%的氟化氢和约1体积%至约10体积%的硝酸。
[0187]
37、根据段落34-36中任一项所述的方法,进一步包括:将所述航空部件暴露于所述酸性清洁溶液约30分钟至约90分钟;在暴露所述航空部件的同时搅拌所述酸性清洁溶液;以及将所述酸性清洁溶液保持在约20℃至约50℃的温度。
[0188]
38、根据段落34-37中任一项所述的方法,其中在将所述航空部件暴露于所述酸性
清洁溶液之前,所述方法进一步包括:将所述航空部件暴露于预冲洗液约5分钟至约60分钟;在所述预冲洗液中超声处理所述航空部件;以及将所述预冲洗液保持在约20℃至约50℃的温度。
[0189]
39、根据段落34-38中任一项所述的方法,其中所述预冲洗液包括有机溶剂和水。
[0190]
40、根据段落34-39中任一项所述的方法,其中在将所述航空部件暴露于所述酸性清洁溶液之前,所述方法进一步包括:将所述航空部件暴露于水性清洁溶液约1小时至约5小时;在所述水性清洁溶液中超声处理所述航空部件;以及将所述水性清洁溶液保持在约20℃至约50℃的温度。
[0191]
41、根据段落34-40中任一项所述的方法,其中所述水性清洁溶液包含水、络合剂和碱。
[0192]
42、根据段落34-41中任一项所述的方法,其中所述络合剂包括乙二胺四乙酸(edta)和/或它们的盐,并且其中所述碱包括氢氧化物。
[0193]
43、根据段落34-42中任一项所述的方法,其中在将所述航空部件暴露于所述酸性清洁溶液之后,所述方法进一步包括:将所述铝化物层暴露于水性清洁溶液约1小时至约5小时;在所述水性清洁溶液中超声处理所述航空部件;以及将所述水性清洁溶液保持在约20℃至约50℃的温度。
[0194]
44、根据段落34-43中任一项所述的方法,其中所述水性清洁溶液包含水、络合剂和碱。
[0195]
45、根据段落34-44中任一项所述的方法,其中所述络合剂包括乙二胺四乙酸(edta)和/或它们的盐,并且其中所述碱包括氢氧化物。
[0196]
46、根据段落34-45中任一项所述的方法,进一步包括:将所述铝化物层暴露于所述后冲洗液约10分钟至约90分钟;在所述后冲洗液中超声处理所述航空部件;以及将所述后冲洗液保持在约20℃至约50℃的温度。
[0197]
47、根据段落34-46中任一项所述的方法,其中所述后冲洗液包括有机溶剂和水。
[0198]
48、根据段落34-47中任一项所述的方法,其中所述铝化物层包含铝化镍、铝化钛、铝化镁、铝化铁或它们的组合。
[0199]
49、根据段落34-48中任一项所述的方法,其中所述铝化物层的厚度为约20μm至约500μm。
[0200]
50、根据段落34-49中任一项所述的方法,其中所述氧化铝的厚度为约1μm至约50μm。
[0201]
51、根据段落34-50中任一项所述的方法,其中所述航空部件的厚度为约1mm至约5mm。
[0202]
52、根据段落34-51中任一项所述的方法,其中所述保护涂层包含氧化铬、氧化铝、氮化铝、氧化铪、氧化钛、氮化钛、氧化钽、氮化钽、它们的掺杂物或它们的任何组合。
[0203]
53、根据段落34-52中任一项所述的方法,其中所述保护涂层的厚度为约1nm至约10,000nm。
[0204]
54、一种翻新的航空部件,由根据段落1至段落53段中任一项所述的任何一种方法生产或形成。
[0205]
55、根据段落54所述的翻新的航空部件,其中所述航空部件包括涡轮叶片、涡轮叶
片根部、涡轮盘、涡轮轮叶、支撑构件、框架、肋、翅片、钉状翅片、燃料喷嘴、燃料管线、燃料阀、燃烧室衬里、燃烧室护罩、热交换器或内部冷却通道。
[0206]
虽然以上内容针对本公开内容的实施方式,但是可以在不脱离其基本范围的情况下设计出其他和进一步的实施方式,并且其范围由随附的权利要求书确定。本文所述的所有文件均通过引用并入本文,包括任何优先权文件和/或测试程序,但前提是它们与本文本一致。从前文的一般描述和具体实施方式显而易见,虽然已经示出和描述了本公开内容的形式,但是可以在不脱离本公开内容的精神和范围的情况下进行各种修改。因此,本公开内容不受此限制。同样,就美国法律而言,术语“包含”被视为与术语“包括”同义。同样,无论何时组合物、元素或元素的群组前面带有过渡短语“包含”,都应理解为设想到在记载的该组合物、元素或多种元素前具有过渡短语“基本上由
……
组成”、“由
……
组成”、“选自由
……
组成的群组”或“是”的同一组合物、元素或元素的群组,反之亦然。
[0207]
已经使用一组数值上限和一组数值下限描述了某些实施方式和特征。应当理解,包括任何两个值的组合的范围,例如,任何较低值与任何较高值的组合、任何两个较低值的组合和/或任何两个较高值的结合,都被设想到,除非另有指示。某些下限、上限和范围出现在以下一项或多项权利要求中。
再多了解一些

本文用于创业者技术爱好者查询,仅供学习研究,如用于商业用途,请联系技术所有人。

发表评论 共有条评论
用户名: 密码:
验证码: 匿名发表

相关文献