一种残膜回收机防缠绕挑膜装置的制 一种秧草收获机用电力驱动行走机构

验证掩模设计的自由曲线特征的制作方法

2023-02-02 05:05:29 来源:中国专利 TAG:

验证掩模设计的自由曲线特征
1.相关申请的交叉引用
2.本技术要求于2020年6月2日递交的美国申请63/033,363的优先权,所述美国申请的全部内容通过引用而被合并入本文中。
技术领域
3.本说明书涉及验证掩模设计的自由曲线特征。


背景技术:

4.光刻投影设备可以用于例如集成电路(ic)的制造中。图案形成装置(例如,掩模)可以包括或提供与ic的单层对应的图案(“设计布局”),并且这一图案可以通过诸如穿过图案形成装置上的图案辐射已经涂覆有辐射敏感材料(“抗蚀剂”)层的衬底(例如硅晶片)上的目标部分(例如包括一个或更多个的管芯)的方法,被转印到所述目标部分上。通常,单个衬底包括被光刻投影设备连续地、一次一个目标部分地将图案转印到其上的多个相邻目标部分。在一种类型的光刻投影设备中,整个图案形成装置上的图案在一次操作中被转印到一个目标部分上;这样的设备通常称作为步进器。在一种替代的设备(通常称为步进扫描设备)中,投影束沿给定的参考方向(“扫描”方向)在图案形成装置之上扫描,同时沿与所述参考方向平行或反向平行的方向同步移动衬底。图案形成装置上的图案的不同部分被逐渐地转印到一个目标部分上。因为通常光刻投影设备将具有减小比率m(例如,4),并且所述减小比率可以在x和y方向特征中不同,所以衬底被移动的速率f将是投影束扫描图案形成装置的速率的1/m倍。可以例如从以引用方式并入本文中的us 6,046,792搜集到关于如本文中所描述的光刻装置的更多信息。
5.在将所述图案从图案形成装置转印至衬底之前,衬底可能经历各种工序,诸如涂底料、抗蚀剂涂覆以及软焙烤。在曝光之后,衬底可能经历其它工序(“曝光后工序”),诸如曝光后焙烤(peb)、显影、硬焙烤以及对所转印的图案的测量/检查。这一系列的工序被用作为制造器件(例如ic)的单个层的基础。之后衬底可能经历各种过程,诸如蚀刻、离子注入(掺杂)、金属化、氧化、化学机械抛光等,所有的这些过程都旨在最终完成器件的单个层。如果器件需要多个层,则针对每一层重复整个工序或其变形。最终,器件将设置在衬底上的每一目标部分中。之后通过诸如切片或切割等技术,将这些器件彼此分离,使得可以将单独的器件安装在载体上、连接至引脚,等等。
6.制造器件(诸如半导体器件)通常涉及使用多个制造过程来处理衬底(例如,半导体晶片)以形成器件的各种特征和多个层。这些层和特征通常使用例如淀积、光刻、蚀刻、化学机械抛光、和离子注入来制造和处理。可在衬底上的多个管芯上制造多个器件,且然后将其分成单独的器件。此器件制造过程可以被认为是图案化过程。图案化过程涉及图案形成步骤,诸如在光刻设备中使用图案形成装置的光学和/或纳米压印光刻,以将图案形成装置上的图案转印到衬底上,并且通常但可选地涉及到一个或更多个相关的图案处理步骤,诸如通过显影设备的抗蚀剂显影、使用烘焙工具的衬底烘焙、使用蚀刻设备而使用图案进行
蚀刻等。
7.如所提及的,光刻术是制造器件(诸如ic)中的核步骤,其中,形成于衬底上的图案限定器件的功能元件,诸如微处理器、存储器芯片等。类似的光刻技术也用于形成平板显示器、微机电系统(mems)和其它器件。
8.随着半导体制造过程继续进步,几十年来,功能元件的尺寸已经不断地减小的同时每一个器件的功能元件(诸如晶体管)的数目已经在稳定地增加这遵循着通常称为“莫尔定律”的趋势。在当前的技术状态下,使用光刻投影设备来制造器件的多个层,光刻投影设备使用来自深紫外线照射源的照射将设计布局投影到衬底上,从而形成具有远低于100nm(即,小于来自照射源(例如193nm照射源)的辐射的波长的一半)的尺寸的单个功能元件。
9.其中具有尺寸小于光刻投影设备的经典分辨率极限的特征被印制的这种过程通常被称为低k1光刻术,它所依据的分辨率公式是cd(临界尺寸)=k1×
λ/na,其中,λ是所采用的辐射的波长(当前大多数情况下是248nm或193nm),na是光刻投影设备中的投影光学元件的数值孔径,cd是“临界尺寸”(通常是所印制的最小特征尺寸)以及,k1是经验分辨率因子。通常,k1越小,在衬底上再现类似于由设计者所规划的形状和尺寸以实现特定电学功能性和性能的图案就变得越困难。为了克服这些困难,将复杂的精调整步骤应用到光刻投影设备、设计布局或图案形成装置。这些步骤包括例如但不限于:na和光学相干性设定的优化、自定义照射方案、使用相移图案形成装置、设计布局中的光学近接校正(opc,有时也称作“光学和过程校正”),或通常被定义为“分辨率增强技术”(ret)的其它方法。


技术实现要素:

10.根据实施例,提供一种用于验证掩模设计的特征的方法。所述方法包括:确定所述特征的局部形状;和基于所述局部形状确定是否存在所述特征对验证准则的违反。所述验证准则指定图案特性的阈值与局部形状之间的对应关系。
11.在实施例中,所述局部形状包括所述特征的区段上的单独的部位的局部曲率。
12.在实施例中,确定所述局部曲率包括对单独的区段执行曲线拟合、和/或确定单独的区段的局部角度偏差。
13.在实施例中,所述图案特性的所述阈值是间距阈值,并且所述验证准则将所述间距阈值指定为所述局部曲率的函数。
14.在实施例中,所述方法还包括将类似的局部曲率分成组,并且针对不同组确定不同验证准则。
15.在实施例中,所述方法还包括:识别特征上的两个部位或不同特征上的两个部位、以及基于所述部位的局部曲率确定所述图案特性的在所述两个部位之间的所述阈值。
16.在实施例中,所述图案特性的在所述两个部位之间的所述阈值是间距阈值,并且确定所述两个部位之间的所述间距阈值包括:基于第一部位的局部曲率确定第一阈值;基于第二部位的局部曲率确定第二阈值;和/或确定所述第一阈值与所述第二阈值的加权组合。
17.在实施例中,所述图案特性包括最小线宽、临界尺寸、或弯曲形状之间的最小间距。
18.在实施例中,所述掩模设计的所述特征是自由曲线掩模特征。
19.在实施例中,所述方法还包括响应于所述特征对所述验证准则的违反而基于所述违反来调整所述特征。
20.在实施例中,所述违反是由以下操作来检测的:(1)确定所述特征的尺寸、所述特征的形状、所述掩模设计中的所述特征的部位、和/或所述掩模设计中的所述特征相对于其它特征的位置;以及(2)将所述特征的所述尺寸、所述特征的所述形状、所述掩模设计中的所述特征的所述部位、和/或所述掩模设计中的所述特征相对于其它特征的位置与所述验证准则的对应阈值进行比较。
21.在实施例中,所述调整包括改变所述特征的尺寸、所述特征的形状、所述掩模设计中的所述特征的部位、和/或所述掩模设计中的所述特征相对于其它特征的位置。
22.在实施例中,所述调整包括识别所述特征的两个或更多个相关的单独的区段、确定所述两个或更多个相关的单独的区段中的哪个区段或哪些区段待调整、以及确定对所述两个或更多个相关的单独的区段中的每个区段的调整程度。
23.在实施例中,基于对所述两个或更多个相关的单独的区段中的单独的区段的调整减去或排除对应的违反的程度,确定调整所述两个或更多个相关的单独的区段中的哪个区段或哪些区段,以及对给定区段的调整程度。
24.在实施例中,所述调整包括一个或更多个惩罚调整。所述一个或更多个惩罚调整可以包括对所述两个或更多个相关的单独的区段中的每个区段的相等调整、对所述两个或更多个相关的单独的区段中的仅一个区段的调整,或对所述两个或更多个相关的单独的区段中的每个区段的不相等调整。
25.根据另一实施例,提供一种其上具有指令的非暂时性计算机可读介质。所述指令在由计算机执行时使得所述计算机:确定掩模设计的特征的局部形状;和基于所述局部形状确定是否存在所述特征对验证准则的违反。所述验证准则指定图案特性的阈值与局部形状之间的对应关系。
26.在实施例中,所述局部形状包括所述特征的区段上的单独的部位的局部曲率。
27.在实施例中,确定所述局部曲率包括对单独的区段执行曲线拟合、和/或确定单独的区段的局部角度偏差。
28.在实施例中,所述图案特性的所述阈值是间距阈值,并且其中所述验证准则将所述间距阈值指定为所述局部曲率的函数。所述函数可以被定义为连续函数、离散函数、或分段定义函数。
29.在实施例中,所述指令还被配置成使计算机将类似的局部曲率分成组,并且针对不同组确定不同验证准则。
30.在实施例中,所述指令还被配置成使计算机识别特征上的两个部位或不同特征上的两个部位、以及基于所述部位的局部曲率确定所述图案特性的在所述两个部位之间的所述阈值。
31.在实施例中,所述图案特性的在所述两个部位之间的所述阈值是间距阈值,并且确定所述两个部位之间的所述间距阈值包括:基于第一部位的局部曲率确定第一阈值;基于第二部位的局部曲率确定第二阈值;和/或确定所述第一阈值与所述第二阈值的加权组合。
32.在实施例中,所述图案特性包括最小线宽、临界尺寸、或弯曲形状之间的最小间
距。
33.在实施例中,所述掩模设计的所述特征是自由曲线掩模特征。
34.在实施例中,所述指令还被配置成响应于所述特征对所述验证准则的违反而使计算机基于所述违反来调整所述特征。
35.在实施例中,所述违反是由以下操作来检测的:(1)确定所述特征的尺寸、所述特征的形状、所述掩模设计中的所述特征的部位、和/或所述掩模设计中的所述特征相对于其它特征的位置;以及(2)将所述特征的所述尺寸、所述特征的所述形状、所述掩模设计中的所述特征的所述部位、和/或所述掩模设计中的所述特征相对于其它特征的位置与所述验证准则的对应阈值进行比较。
36.在实施例中,所述调整包括改变所述特征的尺寸、所述特征的形状、所述掩模设计中的所述特征的部位、和/或所述掩模设计中的所述特征相对于其它特征的位置。
37.在实施例中,所述调整包括识别所述特征的两个或更多个相关的单独的区段、确定所述两个或更多个相关的单独的区段中的哪个区段或哪些区段待调整、以及确定对所述两个或更多个相关的单独的区段中的每个区段的调整程度。
38.在实施例中,基于对所述两个或更多个相关的单独的区段中的单独的区段的调整减去或排除对应的违反的程度,确定调整所述两个或更多个相关的单独的区段中的哪个区段或哪些区段,以及对给定区段的调整程度。
39.在实施例中,所述调整包括一个或更多个惩罚调整。所述一个或更多个惩罚调整包括对所述两个或更多个相关的单独的区段中的每个区段的相等调整、对所述两个或更多个相关的单独的区段中的仅一个区段的调整,或对所述两个或更多个相关的单独的区段中的每个区段的不相等调整。
40.根据另一实施例,提供一种用于调整掩模设计的特征的方法。所述方法包括:确定所述特征的局部形状;基于所述局部形状确定是否存在所述特征对验证准则的违反,其中所述验证准则指定图案特性的阈值与局部形状之间的对应关系;和基于所检测的违反来调整所述特征。
41.在实施例中,所述调整包括改变所述特征的尺寸、所述特征的形状、所述掩模设计中的所述特征的部位、和/或所述掩模设计中的所述特征相对于其它特征的位置。
42.在实施例中,所述局部形状包括所述特征的区段上的单独的部位的局部曲率。
43.在实施例中,确定所述局部曲率包括对区段执行曲线拟合、和/或确定区段的局部角度偏差。
44.在实施例中,所述图案特性的所述阈值是间距阈值,并且所述验证准则将所述间距阈值指定为所述局部曲率的函数。
附图说明
45.图1示出光刻系统的各个子系统的框图。
46.图2是根据实施例的用于确定待印制于衬底上的图案形成装置图案或目标图案的方法的流程图。
47.图3示出根据实施例的用于验证掩模设计的曲线特征的本方法的示例。
48.图4示出根据实施例的使用曲线拟合来确定单独的掩模特征区段的局部曲率。
49.图5示出根据实施例的基于单独的区段的局部角度偏差来确定单独的掩模特征区段的局部曲率。
50.图6示出根据实施例的掩模设计的各种特征的正曲率和负曲率的示例。
51.图7示出根据实施例的使验证准则阈值基于曲率而变化的示例。
52.图8示出根据实施例的识别不同特征上的两个部位,并且基于部位的局部曲率确定所述两个部位之间的图案特性的阈值。
53.图9示出根据实施例的识别特征904的两个或更多个相关的单独的区段900,确定所述两个或更多个相关的单独的区段中的哪个区段或哪些区段待调整,以及确定对所述两个或更多个相关的单独的区段中的每个区段的调整程度。
54.图10是根据实施例的示例计算机系统的框图。
55.图11是根据实施例的光刻投影设备的示意图。
56.图12是根据实施例的另一光刻投影设备的示意图。
57.图13是根据实施例的光刻投影设备的详细视图。
58.图14是根据实施例的光刻投影设备的源收集器模块的详细视图。
具体实施方式
59.诸如光刻掩模之类的图案形成装置可以包括或可以形成一个或更多个设计布局。可以利用计算机辅助设计(cad)过程和/或其它工具来产生所述设计布局。这种过程常常被称为eda(电子设计自动化)。大多数cad程序遵循预定规则的集合,以便产生功能设计布局和/或诸如掩模之类的图案形成装置。基于处理和设计限制而设置这些规则。例如,设计规则限定了在器件(诸如闸、电容器,等等)、互联线、和/或其它特征之间的空间容许度,以确保器件、线、和/或其它特征不会以不期望的方式彼此相互作用。所述规则试图确保(经由图案形成装置)在衬底上如实地再现原始设计意图。类似规则控管图案形成装置(例如,掩模)设计。
60.可以针对掩模特定地执行掩模规则检查(mrc)。可以执行mrc以验证掩模设计的特征不会以不期望的方式彼此相互作用,掩模被适当地配置成确保在衬底上再现设计意图,和/或出于其它原因。mrc技术很好地定义以用于典型曼哈顿(manhattan)掩模。典型掩模具有具备线性(例如,非弯曲)边缘的特征,所述特征常常彼此成直角地定位。然而,对于自由曲线掩模,mrc规则定义和特征验证是具有挑战性的。迄今为止,尚未存在具有用于处理曲线自由掩模的严格且高效方法的可靠mrc技术。例如,基于图像的mrc技术难以确保无mrc的掩模,并且在限定各种mrc规则时,所述基于图像的mrc技术所具有的灵活性也比掩模室所期望的灵活性少得多。无mrc的掩模是不具有mrc违反的掩模。
61.有利地,本公开描述了用于在任意几何形状的mrc期间验证包括曲线自由特征和曼哈顿特征的掩模特征的强力且灵活的技术。在使用本技术的情况下,确定不同掩模特征轮廓区段的单独局部形状,诸如曲率。这促成/实现了对于指定在各种图案特性的阈值与局部形状之间的对应关系的验证准则的定义。因此,可以使用方程式、经由分组(例如,将类似的局部曲率分成组为多个组,和确定针对不同组的不同验证准则)、和/或其它操作,来限定针对自由曲线掩模的mrc验证准则。这种方法给出关于如何以常见和/或可理解方式将针对自由曲线掩模的mrc验证准则(例如,从制造厂)提供至掩模室的巨大灵活性。例如,可以在
物理上理解局部曲率,并且这种所提出方法在其极限处收敛至曼哈顿掩模1d-2d mrc定义。这种技术也促成求解每个局部特征边缘形状的mrc验证准则违反(volation/breach),并且使对应特征形状调整作为惩罚成本(例如,自由形式)传递至优化器。
62.虽然在本文中可以具体地参考ic的制造,但应明确地理解,本文中的描述具有许多其它可能的应用。例如,本文中的描述可以用于制造集成光学系统、用于磁畴存储器的引导和检测图案、液晶显示面板、薄膜磁头等。本领域技术人员应了解,在这样的替代应用的情境中,本文中对术语“掩模版”、“晶片”或“管芯”的任何使用应被认是分别与更上位的术语“掩模”、“衬底”与“目标部分”是可互换的。
63.如本文中所采用的术语“掩模”、“掩模版”或“图案形成装置”可以被广泛地解释为指代可以用以向入射辐射束赋予被图案化的横截面的通用图案形成装置,被图案化的横截面对应于待在衬底的目标部分中产生的图案。术语“光阀”也可以用于此情境中。除了经典掩模(透射型或反射型、二元型、相移型、混合型等)以外,其它此类图案形成装置的示例包括可编程反射镜阵列。这种器件的示例可以是具有黏弹性控制层和反射表面的矩阵可寻址表面。这样的设备所依据的基本原理是(例如)反射表面的已寻址区域将入射辐射反射成衍射辐射,而未寻址区域将入射辐射反射成非衍射辐射。使用适合的滤光片,可以从反射束中过滤掉所述非衍射辐射,从而之后仅留下衍射辐射;这样,所述束根据矩阵可寻址表面的寻址图案而被图案化。所要求的矩阵寻址可以通过使用适合的电子装置进行。其它这样的图案形成装置的示例也包括可编程lcd阵列。在以引用方式并入本文中的美国专利号5,229,872中给出示例。
64.在本文件中,术语“辐射”和“束”用于涵盖所有类型的电磁辐射,包括紫外辐射(例如,具有365nm、248nm、193nm、157nm或126nm的波长)和极紫外辐射(euv,例如,具有在约5nm至100nm的范围内的波长)。
65.如本文中使用的术语“投影光学元件”应该被宽泛地解释为涵盖各种类型的光学系统,包括例如折射型光学器件、反射型光学器件、孔阑和反射折射型光学器件。术语“投影光学元件”也可以包括用于共同地或单个地引导、成形或控制投影辐射束的根据这些设计类型中的任一个来操作的部件。术语“投影光学元件”可以包括光刻投影设备中的任何光学部件,无论光学部件位于光刻投影设备的光学路径上的什么地方。投影光学元件可以包括用于在来自源的辐射通过图案形成装置之前成形、调整和/或投影该辐射的光学部件,或者用于在该辐射通过图案形成装置之后成形、调整和/或投影该辐射的光学部件。投影光学元件通常不包括源和图案形成装置。
66.作为简要介绍,图1示出示例性光刻投影设备10a。主要部件为:辐射源12a,所述辐射源可以是深紫外(duv)准分子激光器源或包括极紫外(euv)源的其它类型的源(如上文所述,光刻投影设备本身无需具有辐射源);照射光学器件,所述照射光学器件例如限定部分相干性(表示为西格玛)且可以包括对来自辐射源12a的辐射进行成形的光学部件14a、光学部件16aa和光学部件16ab;图案形成装置(或掩模)18a;以及透射光学器件16ac,所述透射光学器件将图案形成装置图案的图像投影至衬底平面22a上。
67.光瞳20a可以被包括在透射光学器件16ac中。在一些实施例中,在掩模18a前和/或后可以存在一个或更多个光瞳。如本文中进一步详细地描述的,光瞳20a可以提供最终到达衬底平面22a的光的图案化。在投影光学器件的光瞳平面处的可调滤波器或孔可以约束照
射到衬底平面22a上的束角度的范围,其中最大可能角度限定投影光学器件的数值孔径na=n sin(θmax),其中n是衬底与投影光学器件的最后一个元件之间的介质的折射率,并且θmax是从投影光学器件射出的仍可以照射到衬底平面22a上的束的最大角度。
68.在光刻投影设备中,向图案形成装置和投影光学器件提供照射(即辐射)的源经由所述图案形成装置(例如,掩模)将照射引导且成形至衬底上。投影光学器件可以包括光学部件14a、16aa、16ab和16ac中的至少一些部件。空间图像(ai)是在衬底水平处的辐射强度分布。可以使用抗蚀剂模型以根据所述空间图像来计算抗蚀剂图像,可以在全部公开内容通过引用方式由此并入本文的美国专利申请公开号us 2009-0157630中找到这种情形的示例。所述抗蚀剂模型仅与抗蚀剂层的性质(例如,在曝光、曝光后焙烤(peb)和显影期间发生的化学过程的效应)有关。所述光刻投影设备的光学性质(例如,照射、图案形成装置、和投影光学器件的性质)指明了所述空间图像且可以被限定于光学模型中。由于可以改变用于光刻投影设备中的所述图案形成装置(例如,掩模),所以期望使所述图案形成装置的光学性质与至少包括所述源和所述投影光学器件在内的所述光刻投影设备的其余部分的光学性质分离。用以将设计布局变换成各种光刻图像(例如,空间图像、抗蚀剂图像等)的技术和模型,通过使用那些技术和模型来应用了光学邻近效应校正(opc)且评估性能(例如,根据过程窗)的细节在美国专利申请公开号us 2008-0301620、2007-0050749、2007-0031745、2008-0309897、2010-0162197和2010-0180251中加以描述,每个美国专利申请公开的公开内容由此以全文引用的方式并入。
69.理解光刻过程的一方面是理解所述辐射与所述图案形成装置(例如,掩模)的相互作用。可以根据在所述辐射到达所述图案形成装置之前的所述辐射的电磁场以及表征所述相互作用的函数,来确定在所述辐射传递穿过所述图案形成装置之后的所述辐射的电磁场。这种函数可以被称作掩模透射函数(其可以用以描述由透射图案形成装置和/或反射图案形成装置的相互作用)。
70.所述掩模透射函数可以具有多种不同形式。一种形式是二元的。二元掩模透射函数在所述图案形成装置上的任何给定部位处具有两个值(例如零和正的常数)中的任一值。呈二元形式的掩模透射函数可以被称作二元掩模。另一形式是连续的。即,所述图案形成装置的透射率(或反射率)的模数是所述图案形成装置上的部位的连续函数。透射率(或反射率)的相位也可以是所述图案形成装置上的部位的连续函数。呈连续形式的掩模透射函数可以被称作连续色调掩模或连续透射掩模(ctm)。例如,可以将ctm表示为像素化图像,其中可以向每个像素指派即分配介于0与1之间的值(例如0.1、0.2、0.3等等),以代替0或1的二元值。在实施例中,ctm可以是像素化灰阶图像,其中每个像素具有多个值(例如在范围[-255,255]内,在范围[0,1]或[-1,1]或其它适当范围内的归一化值)。
[0071]
薄掩模近似,也被称为基尔霍夫(kirchhoff)边界条件,被广泛地用以简化对于所述辐射与所述图案形成装置的相互作用的确定。所述薄掩模近似认为:所述图案形成装置上的结构的厚度与波长相比非常小,并且所述掩模上的结构的宽度与波长相比非常大。因此,所述薄掩模近似认为:在图案形成装置之后的电磁场是入射电磁场与掩模透射函数的相乘。然而,随着光刻过程使用具有越来越短的波长的辐射,并且所述图案形成装置上的结构变得越来越小,则所述薄掩模近似的认定或假定会失灵。例如,辐射与结构(例如介于顶部表面与侧壁之间的边缘)的相互作用由于所述结构的有限厚度(“掩模3d效应”或“m3d”)
而可以变得显著。在所述掩模透射函数中涵盖这种散射可能会使所述掩模透射函数能够较佳地捕获所述辐射与所述图案形成装置的相互作用。在所述薄掩模近似下的掩模透射函数可以被称作薄掩模透射函数。涵盖m3d的掩模透射函数可以被称作m3d掩模透射函数。
[0072]
图2是用于从与待经由涉及光刻过程的图案化过程而印制于衬底上的目标图案对应的图像(例如,连续透射掩模图像、二元掩模图像、曲线掩模图像等)确定图案形成装置图案(或下文中的掩模图案)的方法200的流程图。在实施例中,所述设计布局或所述目标图案可以是二元设计布局、连续色调设计布局,或另一合适形式的设计布局。
[0073]
方法200是迭代过程,其中初始图像(例如,增强型图像、从ctm图像初始化的掩模变量等)被逐步地修改以根据本公开的不同过程产生不同类型的图像,以最终产生包括进一步用以制作/制造掩模的掩模图案或图像(例如,与最终曲线掩模相对应的掩模变量)的信息。所述初始图像的迭代修改可以基于成本函数,其中在迭代期间,所述初始图像可以被修改,使得所述成本函数被减小,在实施例中被最小化。在实施例中,方法200也可以被称作二进制化ctm过程,其中初始图像是被优化的ctm图像,所述被优化的ctm图像进一步根据本公开被处理以产生曲线掩模图案(例如,曲线掩模或曲线图案的几何形状或多边形表示形状)。在实施例中,所述初始图像可以是ctm图像的增强型图像)。所述曲线掩模图案可以呈向量、表、数学方程式的形式,或表示几何/多边形形状的其它形式。
[0074]
在实施例中,过程p201可以涉及获得初始图像(例如,ctm图像或被优化的ctm图像,或二元掩模图像)。在实施例中,初始图像201可以是由ctm产生过程基于待印制于衬底上的目标图案而产生的ctm图像。可以接着由所述过程p201接收所述ctm图像。在实施例中,所述过程p201可以被配置成产生ctm图像。例如,在ctm产生技术中,将逆光刻问题公式化为优化问题。所述变量与掩模图像中的像素的值相关,并且诸如epe或旁瓣印制之类的光刻指标被用作成本函数。在所述优化的迭代中,从所述变量构造所述掩模图像并且接着应用过程模型(例如tachyon模型)以获得光学或抗蚀剂图像且计算出成本函数。成本计算接着给出梯度值,所述梯度值用于优化求解程序中以更新变量(例如,像素强度)。在优化期间的若干次迭代之后,产生最终掩模图像,所述最终掩模图像另外用作用于图案提取的引导映射(例如如实施于tachyon smo软件中)。这种初始图像(例如ctm图像)可以包括与待经由所述图案化过程而被印制于所述衬底上的所述目标图案相对应的一个或更多个特征(例如目标图案的特征、sraf、srif等)。
[0075]
在实施例中,ctm图像(或ctm图像的增强型版本)可以用以初始化可以用作所述初始图像201的掩模变量,所述初始图像如下文所论述的那样被迭代地修改。
[0076]
过程p201可以涉及基于所述初始图像201产生增强型图像202。增强型图像202可以是所述初始图像201内的某些选定像素被放大的图像。所述选定像素可以是所述初始图像201内的具有相对较低值(或弱信号)的像素。在实施例中,所述选定像素是具有与例如贯穿即遍及所述初始图像的像素的平均强度或给定阈值相比更低的信号值的像素。换句话说,所述初始图像201内具有较弱信号的像素被放大,因而增强了所述初始图像201内的一个或更多个特征。例如,目标特征周围的二阶sraf可以具有可以被放大的弱信号。因而,所述增强型图像202可以突出显示或识别可以被包括在掩模图像(在方法中稍后产生)内的额外特征(或结构)。在确定掩模图像的常规方法(例如,ctm方法)中,初始图像内的弱信号可以被忽略,并且如此,所述掩模图像可以不包括可以由初始图像201中的弱信号所形成的特
征。
[0077]
所述增强型图像202的产生涉及应用诸如滤波器(例如,边缘检测滤波器)之类的图像处理操作以放大所述初始图像201内的弱信号。替代地或另外,所述图像处理操作可以是去模糊、平均化和/或特征提取或其它类似操作。所述边缘检测滤波器的示例包括普瑞维特(prewitt)算子、拉普拉斯(laplacian)算子、高斯拉普拉斯(log)滤波器等。所述产生步骤还可以涉及在修改或不修改所述初始图像201的原始强信号的情况下组合所述初始图像201的被放大的信号与所述初始图像201的原始信号。例如,在实施例中,对于跨越所述初始图像201的一个或更多个部位处(例如,接触孔处)的一个或更多个像素值,原始信号可以是相对强的(例如,高于某阈值诸如150或低于-50),则所述一个或更多个部位处(例如,接触孔处)的原始信号可能不被修改或与针对该部位的被放大的信号组合。
[0078]
在实施例中,所述初始图像201中的噪声(例如,亮度或颜色或像素值的随机变化)也可以被放大。因此,替代地或另外,可以应用平滑化过程以减小组合后的图像中的噪声(例如,亮度或颜色或像素值的随机变化)。图像平滑化方法的示例包括高斯模糊、流动平均值、低通滤波器等。
[0079]
在实施例中,可以使用边缘检测滤波器来产生所述增强型图像202。例如,可以将边缘检测滤波器应用至所述初始图像201以产生被滤波的图像,所述被滤波的图像突出显示初始图像201内的一个或更多个特征的边缘。得到的被滤波的图像可以与所述原始图像(即,所述初始图像201)进一步组合以产生所述增强型图像202。在实施例中,所述初始图像201与在边缘滤波之后所获得的图像的组合可以涉及仅修改所述初始图像201的具有弱信号的那些部分而不修改具有强信号的区,并且组合过程可以基于信号强度而被加权。在实施例中,放大所述弱信号也可以放大被滤波的图像内的噪声。因此,根据实施例,可以对组合后的图像执行平滑化过程。图像的平滑化可以指近似函数,所述近似函数试图捕获图像中的重要图案(例如,目标图案、sraf),同时省掉噪声或其它精细尺度结构/快速现象。在平滑化中,信号的数据点可以被修改,使得单独的点(大致由于噪声)可以被减小,并且可能比邻近点更低的点可以被增大,从而导致更平滑信号或更平滑图像。因而,在平滑化操作之后,根据本公开的实施例,可以获得具有减小的噪声的所述增强型图像202的进一步平滑版本。
[0080]
在过程p203中,所述方法可以涉及基于所述增强型图像202产生掩模变量203。在第一迭代中,所述增强型图像202可以用以初始化所述掩模变量203。在稍后的迭代中,可以迭代地更新所述掩模变量203。
[0081]
n个实变量的实数值函数f的轮廓提取是如下形式的集合:
[0082]
lc(f)={(x1,x2,

xn)|f(x1,x2,

xn)=c}
[0083]
在二维空间中,所述集合定义所述表面上的所述函数f等于给定值c的点。在二维空间中,所述函数f能够提取将向所述掩模图像渲染的闭合轮廓。
[0084]
在以上方程式中,x1,x2,

xn指诸如单独的像素的强度之类的掩模变量,所述掩模变量确定曲线掩模边缘以给定恒定值c而存在的部位(例如,在如以下过程p205中论述的阈值平面)。
[0085]
在实施例中,在迭代处,所述掩模变量203的产生可以涉及基于例如初始化条件或梯度图(其可以在所述方法中随后产生)来修改所述增强型图像202内的变量的一个或更多
个值(例如一个或更多个部位处的像素值)。例如,可以增大或减小所述一个或更多个像素值。换句话说,可以增大或减小所述增强型图像202内的一个或更多个信号的振幅。信号的修改后的振幅可以使得能够依赖于所述信号的振幅的改变量而产生不同的曲线图案。因而,所述曲线图案逐渐地演变,直到成本函数减小为止(在实施例中被最小化)。在实施例中,可以对水平掩模变量203执行进一步平滑化。
[0086]
此外,过程p205涉及基于所述掩模变量203产生曲线掩模图案205(例如,具有以向量形式表示的多边形形状)。所述曲线掩模图案205的产生可以涉及所述掩模变量203的阈值设定以从所述掩模变量203追踪或产生曲线(或弯曲)图案。例如,可以使用具有固定值的与掩模变量203的信号相交的阈值平面(例如,x-y平面)来执行阈值设定。阈值平面与掩模变量203的信号的相交产生迹线或轮廓(即,弯曲多边形形状),所述迹线或轮廓形成用作针对所述曲线掩模图案205的曲线图案的多边形形状。例如,所述掩模变量203可以与平行于(x,y)平面的零平面相交。因而,所述曲线掩模图案205可以是如上产生的任何曲线图案。在实施例中,从所述掩模变量203追踪或产生的曲线图案依赖于所述增强型图像202的信号。如此,所述图像增强过程p203促成了针对最终曲线掩模图案而产生的图案的改善。所述最终曲线掩模图案可以由掩模制造商进一步使用以制造用于光刻过程中的掩模。
[0087]
过程p207可以涉及渲染所述曲线掩模图案205以产生掩模图像207。渲染是对所述曲线掩模图案执行的操作,其是与将矩形掩模多边形转换为离散灰阶图像表示类似的过程。这种过程大体上可以被理解为将连续坐标(多边形)的框函数取样成图像像素的每个点处的值。
[0088]
所述方法还涉及使用过程模型进行所述图案化过程的前向模拟,所述过程模型基于所述掩模图像207产生或预测可以被印制于所述衬底上的图案。例如,过程p209可以涉及使用所述掩模图像207作为输入来执行和/或模拟所述过程模型,以及在所述衬底上产生过程图像209(例如,空间图像、抗蚀剂图像、蚀刻图像等)。在实施例中,所述过程模型可以包括耦合至光学器件模型的掩模透射模型,所述光学器件模型进一步耦合至抗蚀剂模型和/或蚀刻模型。所述过程模型的输出可以是在模拟过程期间将不同过程变化作为因子纳入考虑的过程图像209。所述过程图像可以通过例如追踪所述过程图像内的图案的轮廓来进一步用以确定所述图案化过程的参数(例如,边缘放置误差、临界尺寸、重叠、旁瓣等)。所述参数还可以用以限定成本函数,所述成本函数进一步用以优化所述掩模图像207,使得所述成本函数被减小,或在实施例中被最小化。
[0089]
在过程p211中,可以基于所述过程图像209(也被称作模拟衬底图像或衬底图像或晶片图像)来评估成本函数。因而,所述成本函数在图案化过程的变化的情况下可以被认为是过程感知的,从而使得能够产生考虑在图案化过程中的变化的曲线掩模图案。例如,所述成本函数可以是边缘放置误差(epe)、旁瓣、均方误差(mse)、图案放置误差(ppe)、归一化图像对数、或基于在过程图像中的图案轮廓所定义的其它适当变量。epe可以是与一个或更多个图案相关联的边缘放置误差,和/或与过程模型图像209的所有图案和相对应的目标图案相关联的所有边缘放置误差的总和。在实施例中,所述成本函数可以包括可以被同时地减小或最小化的多于一个条件。例如,除了mrc违反(violation/breach)概率以外,也可以包括缺陷的数目、epe、重叠、cd或其它参数,并且可以同时地减小(或最小化)全部条件。
[0090]
此外,一个或更多个梯度图可以基于所述成本函数(例如,epe)产生,并且可以基
于这样的梯度图来修改掩模变量。掩模变量(mv)指的强度。因此,梯度计算可以被表示为并且通过捕获从所述掩模图像(mi)至曲线掩模多边形至掩模变量的逆数学关系来更新梯度值。因而,可以从所述掩模图像至曲线掩模多边形以及从曲线掩模多边形至掩模变量来计算成本函数相对于所述掩模图像的导数链,这允许修改所述掩模变量处的所述掩模变量的值。
[0091]
在实施例中,可以添加图像正则化以减小可以产生的所述掩模图案的复杂度。这样的图像正则化可以是掩模规则检验(mrc)。mrc是指掩模制造过程或设备的限制条件。因而,所述成本函数例如基于epe和mrc违反惩罚而可以包括不同分量。惩罚可以是所述成本函数的项,其依赖于违反量,例如掩模测量值与给定mrc或掩模参数(例如,掩模图案宽度与所允许(例如,最小或最大)掩模图案宽度)之间的差。因而,根据本公开的实施例,可以设计掩模图案,并且可以不仅基于所述图案化过程的前向模拟、而且另外基于所述掩模制造设备/过程的制造限制来制作相对应的掩模。因而,可以获得依据例如epe或印制图案上的重叠而产生高的产率(即,最小缺陷)和高准确度的可制造曲线掩模。
[0092]
与过程图像相对应的所述图案应与所述目标图案确切地相同,然而,这样的确切的目标图案可能是不可行的(例如,通常是尖锐拐角),并且由于所述图案化过程自身中的变化和/或所述图案化过程的模型中的近似而引入一些冲突。在方法的第一迭代中,所述掩模图像207可能不产生类似于所述目标图案的图案(在抗蚀剂图像中)。所述抗蚀剂图像(或蚀刻图像)中的印制图案的准确性或接受度的确定可以基于诸如epe之类的成本函数。例如,如果所述抗蚀剂图案的epe是高的,则其指示使用所述掩模图像207的印制图案是不可接受的且掩模变量203中的图案必须被修改。
[0093]
为了确定掩模图像207是否是可接收的,过程p213可以涉及确定所述成本函数是否被减小或被最小化,或是否达到给定的迭代次数。例如,前一迭代的epe值可以与当前迭代的epe值比较以确定epe是否已减小、最小化或收敛(即,没有观测到在印制图案中的明显改善)。当成本函数被最小化时,所述方法可以停止,并且所产生的所述曲线掩模图案信息被视为优化结果。
[0094]
然而,如果所述成本函数并未被减小或最小化,则可能更新所述掩模相关变量或增强型图像相关变量(例如,像素值)。在实施例中,更新可以根据基于梯度的方法。例如,如果所述成本函数没有被减小,则所述方法200继续执行至在执行指示如何进一步修改所述掩模变量203的过程p215和p217之后产生所述掩模图像的下一迭代。
[0095]
过程p215可以涉及基于所述成本函数而产生梯度图215。所述梯度图可以是所述成本函数的导数和/或偏导数。在实施例中,可以相对于所述掩模图像的像素确定所述成本函数的偏导数,并且可以将导数进一步链接以确定相对于掩模变量203的偏导数。这样的梯度计算可以涉及确定所述掩模图像207与所述掩模变量203之间的逆关系。此外,必须考虑在过程p205和p203中所执行的任何平滑化操作(或函数)的逆关系。
[0096]
梯度图215可以提供关于以使得成本函数的值减小(在实施例中被最小化)的方式增大或减小所述掩模变量的值的建议。在实施例中,可以将优化算法应用于梯度图215以确定所述掩模变量值。在实施例中,优化求解过程可以用以执行基于梯度的计算(在过程p217中)。
[0097]
在实施例中,对于迭代,掩模变量可以被改变,而阈值平面可以保持固定或不变以
便逐渐减小或最小化所述成本函数。因而,所产生的曲线图案可以在迭代期间逐渐发展,使得成本函数被减小,或在实施例中,被最小化。在另一个实施例中,掩模变量以及阈值平面两者都可以改变以实现所述优化过程的较快收敛。在所述成本函数的若干次迭代和/或最小化后可能产生二进制化ctm结果的最终集合(即,增强型图像、掩模图像或曲线掩模的修改后的版本)。
[0098]
在本公开的实施例中,可以通过由不同过程替换阈值设定过程(即,p203和p205)来简化从利用灰阶图像进行的ctm优化至利用曲线掩模进行的二进制化ctm优化的转变,在所述不同过程处,s型变换被应用于所述增强型图像202,并且执行了梯度计算中的相对应的改变。所述增强型图像202的s型变换产生变换图像,所述变换图像在优化过程(例如,最小化成本函数)期间逐渐地演变成曲线图案。在迭代或优化步骤期间,可以基于梯度计算来修改与s型函数相关的变量(例如,陡度和/或阈值)。由于s型变换在连续迭代期间变得更陡(例如,s型变换的斜率的陡度增大),因此可以实现从ctm图像至最终二进制化ctm图像的逐渐转变,从而允许利用曲线掩模图案进行的最终二进制化ctm优化的改善的结果。
[0099]
在本公开的实施例中,额外的步骤/过程可以被插入至优化的迭代的循环中,以加强所述结果从而具有所选的或期望的性质。例如,可以通过添加平滑化步骤来确保平滑度,或可以使用其它滤波器来加强图像以有利于水平/竖直结构。
[0100]
随着光刻节点不断收缩,需要越来越复杂的掩模。可以利用duv扫描器、euv扫描器、和/或其它扫描器在关键层中使用本方法。根据本公开的方法可以被包括在所述掩模优化过程的不同方面(包括源掩模优化(smo)、掩模优化、和/或opc)中。
[0101]
例如,以引用方式而被合并入全文的题为“optimization flows of source,mask and projection optics”的美国专利号9,588,438中描述了现有技术源掩模优化过程。针对典型布局片段上的狭缝的中心执行这种现有技术源掩模优化过程。源和掩模变量的得到的优化被认为表示狭缝上的所有位置(和/或其它位置)。
[0102]
光学邻近效应校正(opc)通过补偿在处理期间发生的变形而增强集成电路图案化过程。所述变形在处理期间发生,这是因为印制于晶片上的特征小于用于图案化和印制过程中的光的波长。opc校验识别出可能潜在地导致晶片上的图案化缺陷的opc后晶片设计中的opc误差或弱点。例如,asml tachyon光刻可制造性检验(lmc)是opc校验产品。
[0103]
opc解决如下事实:投影到衬底上的设计布局的图像的最终大小和放置将不同于或仅依赖于图案形成装置上的设计布局的大小和放置。在诸如opc之类的分辨率增强技术(ret)的情境中,不必使用实体图案形成装置,但设计布局可以用于表示实体图案形成装置。对于存在于一些设计布局上的小特征大小和高特征密度,给定特征的特定边缘的位置将在某种程度上受到其它相邻特征的存在或不存在的影响。这些邻近效应源自从一个特征耦合至另一特征的微小量的辐射和/或诸如衍射和干涉之类的非几何光学效应。类似地,邻近效应可以源自通常在光刻之后的曝光后焙烤(peb)、抗蚀剂显影和蚀刻期间的扩散和其它化学效应。
[0104]
为了增加设计布局的投影图像是根据给定目标电路设计的要求的机会,可以使用设计布局的复杂数值模型、校正或预失真来预测和补偿邻近效应。论文“full-chip lithography simulation and design analysis-how opc is changing ic design”(c.spence,proc.spie,第5751卷,第1至14页(2005年))提供当前“基于模型”的光学邻近效
应校正过程的综述。在典型的高端设计中,设计布局的几乎每个特征都具有某种修改,以便实现投影图像至目标设计的高保真度。这些opc修改可以包括边缘位置或线宽的偏移或偏置和/或预期辅助其它特征的投影的“辅助”特征的应用。
[0105]
opc的一种形式是选择性偏置。给定cd对节距曲线,则通过在图案形成装置水准处改变cd,可以迫使所有不同节距产生相同的cd,至少在最佳焦距和曝光情况下。因而,如果特征在衬底水准处被过小地印制,则图案形成装置水准特征将会被偏置成稍微大于名义特征,并且反之亦然。由于从图案形成装置水准至衬底水准的图案转印过程是非线性的,则偏置量并非仅是在最佳焦距和曝光情况下所测量的cd误差乘以缩小比率,而是可以利用建模和实验而确定适当的偏置。选择性偏置是对邻近效应的问题的不完全解决方案,特别是在其仅在名义过程条件情况下被应用的情况下。尽管原则上可以应用这种偏置以给出在最佳焦距和曝光情况下的均一cd对节距曲线,但一旦曝光过程从名义条件发生变化,则每个偏置节距曲线就将作出不同的响应,从而导致对于不同特征的不同的过程窗口。过程窗口是两个或更多个过程参数(例如,光刻设备中的焦距和辐射剂量)的值的范围,在所述范围内,充分适当地产生特征(例如,特征的cd在某一范围内,诸如
±
10%或
±
5%)。因此,用于给出相同cd对节距的“最佳”偏置甚至可以对整个过程窗口有负面影响,从而缩小而不是放大所有目标特征在期望的过程容许度内印制在衬底上的焦距和曝光范围。
[0106]
已开发了针对超出以上的一维偏置示例的应用的其它opc技术。二维邻近效应是线端缩短的。线端具有根据曝光和焦距而从它们的期望的端点部位“拉回”的倾向。在许多情况下,与对应的线窄化相比,长线端的端部缩短的程度可以大若干倍。这种类型的线端拉回可以在如果线端不能完全跨越过它预期覆盖的基础层(诸如,源极-漏极区上方的多晶硅栅极层)上的情况下导致所制造的器件发生严重失效。由于这种类型的图案对焦距和曝光是非常敏感的,因此仅使线端偏置成比设计长度更长并不足够,这是因为最佳焦距和曝光情况下或在曝光不足条件下的线将会是过长的,从而当延伸的线端触及相邻结构时导致短路,或在如果电路中的单独的特征之间添加更多空间的情况下导致不必要地大的电路大小。由于集成电路设计和制造的目标中的一个目标是在最大化功能元件的数目的同时最小化每芯片所需的面积,因此添加过量的间隔是不期望的解决方案。
[0107]
二维opc方法可帮助解决线端拉回问题。诸如“锤头”或“配线”之类的额外结构(也被称为“辅助特征”)可以被添加至线端以将所述线端有效地锚定在适当部位且提供遍及整个过程窗口的减小的拉回。即使在最佳焦距和曝光情况下,这些额外的结构仍未被分辨,但它们更改主特征的外观,而没有被各自独自完全分辨。如本文中所使用的“主特征”意味着预期在过程窗口中的一些或所有条件下印制在衬底上的特征。辅助特征可以采取比添加至线端的简单锤头更激进得多的形式,从而达到图案形成装置上的图案不再仅是大小根据缩小比率而增加的期望的衬底图案的程度。相比于仅减小线端拉回,诸如配线之类的辅助特征可以应用于更多情形。内配线或外配线可以应用至任何边缘,尤其是二维边缘,以减小拐角圆化(即倒圆)或边缘挤压。在利用足够的选择性偏置以及所有大小和极性的辅助特征的情况下,图案形成装置上的特征承受与衬底水准处所期望的最终图案越来越小的类似性。通常,图案形成装置图案变为衬底水准图案的预失真版本,其中所述失真预期抵消或反转在制造过程期间将出现的图案变形以在衬底上产生尽可能接近于设计者所预期的图案。
[0108]
替代被连接至主特征的那些辅助特征(例如,配线)或除了被连接至主特征的那些
辅助特征(例如,配线)以外,另一opc技术也涉及使用完全独立且不可分辨的辅助特征。这里的术语“独立”意味着这些辅助特征的边缘不连接至主特征的边缘。这些独立辅助特征并不预期或需要作为特征印制在衬底上,而是预期修改附近主特征的空间图像,以增强所述主特征的可印制性和过程容许度。这些辅助特征(常常被称作“散射栅条”或“sbar”)可以包括:亚分辨率辅助特征(sraf),所述亚分辨率辅助特征是主特征的边缘外部的特征;和亚分辨率逆特征(srif),所述亚分辨率逆特征是从主特征的边缘内部挖取出的特征。sbar的存在向图案形成装置图案添加又一层复杂度。散射栅条的简单使用示例为:其中在隔离线特征的两侧上拖拉不可分辨散射栅条的规则阵列,这具有从空间图像的观点使隔离线呈现为更多地表示密集线的阵列内的单条线的效应,从而导致过程窗口在焦距和曝光容许度方面更接近于密集图案的焦距和曝光容许度。与如在图案形成装置水准处被隔离而拖拉的特征的情形相比,这种被装饰的隔离特征与密集图案之间的共同过程窗口将具有对于焦距和曝光变化的更大的共同容许度。
[0109]
辅助特征可以被视为图案形成装置上的特征与设计布局中的特征之间的差异。术语“主特征”和“辅助特征”并不暗示图案形成装置上的特定特征必须被标记为主特征或辅助特征。
[0110]
可以执行掩模规则检查(mrc)作为图2中所示出的操作中的一个或更多个操作的部分。如上文所描述,设计者遵循一系列预定设计规则,以便产生诸如掩模的功能性图案形成装置。基于处理和设计限制来设置这些规则。例如,设计规则限定了掩模上的特征之间的空间容许度和/或其它容许度,以确保一旦制造,则掩模特征就不会以不期望的方式彼此相互作用。本技术提供用于验证曲线自由掩模特征。例如,所述验证可以在mrc期间执行。在使用本技术的情况下,确定不同掩模特征轮廓区段的单独局部形状,诸如曲率。这促成/实现了指定各种图案特性的阈值与局部形状之间的对应关系的验证准则。因此,可以使用方程式、经由分组(binning)(例如,将类似的局部曲率分成组为多个组、和确定针对不同组的不同验证准则)、和/或其它计算来限定针对自由曲线掩模的mrc验证准则。这种方法给出关于如何以熟悉的和/或可理解的方式将自由曲线掩模的mrc验证准则(例如,从制造厂)提供至掩模室的巨大灵活性。
[0111]
图3示出根据本公开的实施例的用于验证掩模设计的特征的示例性方法300的示例。在一些实施例中,特征可以是自由曲线掩模特征。例如,方法300可以与掩模规则检查(mrc)相关联。图3中示出的方法300包括:确定302一个或更多个掩模特征的局部形状;基于所述局部形状确定304是否存在一个或更多个掩模特征对验证准则的违反;响应于所述违反来调整306特征中的一个或更多个特征和/或其它操作。
[0112]
方法300的操作旨在是说明性的。在一些实施例中,可以利用未描述的一个或更多个额外操作、和/或不用所论述的操作中的一个或更多操作,来实现方法300。例如,在一些实施例中,方法300不必包括调整操作306。另外,在图3中图示以及在下文描述该方法300的操作的顺序并非旨在是限制性的。在一些实施例中,方法300的一个或更多个部分可以在一个或更多个处理装置中实施(例如,通过模拟、建模等)。所述一个或更多个处理装置可以包括响应于以电子方式储存在电子储存介质上的指令来执行方法300的操作中的一些或全部的一个或更多个装置。所述一个或更多个处理装置可以包括经过硬件、固件和/或软件而配置的一个或更多个装置,所述硬件、固件和/或软件被专门设计用于执行例如方法300的操
作中的一个或更多个操作。
[0113]
方法300包括确定302一个或更多个掩模特征的局部形状。所述局部形状可以包括特征的子部分的形状。子部分可以包括特征上的单独的点部位、特征的单独的区段、特征的包括小于整个特征的某物的区域、和/或其它子部分。形状可以包括角度、长度、曲率、和/或与点部位、区段、区域和/或其它子部分相关联的其它形状。在一些实施例中,可以相对于彼此、相对于参考形状、相对于掩模设计的一个或更多个部位和/或其它特征,和/或以其它方式,来确定所述局部形状。在一些实施例中,所述局部形状包括特征区段上的单独的部位的局部曲率,和/或其它局部形状。
[0114]
在一些实施例中,确定所述局部曲率包括对单独的区段执行曲线拟合、确定单独的区段的局部角度偏差,和/或其它确定。借助于非限制性示例,图4图示了使用根据本公开的实施例的曲线拟合来确定单独的掩模特征402区段404的局部曲率400。将特征402分成多个区段404。区段404可以按任何角度定向,使得它们一起形成特征402的曲线边缘。在一些实施例中,操作302(图3)包括确定单独的区段404的局部曲率。如在此示例中所示,局部曲率可以通过曲线拟合来确定。图4图示了所关注的区段406、以及拟合曲线408。所述曲线拟合可以包括使用n个邻近区段404(和/或用户限定的邻接长度内的多个区段404)的二阶、三阶和/或更高阶曲线拟合。例如,可以根据以下方程执行曲线拟合:
[0115]
k=-y”/(1 y
’2)
3/2
ꢀꢀꢀꢀꢀ
[1]
[0116]
其中k是轮廓的曲率,y'是轮廓的y部位相对于其x部位的一阶导数,并且y”是轮廓的y部位相对于其x部位的二阶导数。
[0117]
借助于另一非限制性示例,图5图示了基于区段504的局部角度偏差δθ来确定500单独的掩模特征502区段504的局部曲率r1、r2、r3和r4。图5图示了具有长度l的初始所关注的区段506。所述局部角度偏差被归一化至局部区段长度。在图5中,曲率=δθ/l,其中l表示所述局部区段长度。在图5中,l=l
n-1
ln l
n 1
,并且δθ=θ
n 1-θ
n-1
。在这个示例中,r1=r2《r3=-r4。
[0118]
返回至图3,方法300包括基于局部形状来确定304是否存在一个或更多个掩模特征对验证准则的违反。所述验证准则指定了图案特性的阈值与局部形状之间的对应关系。此对应关系可以被编程至当前系统和方法中,基于先前相似掩模特征的先前数据而被确定,由用户以手动方式确定(例如,经由用户接口/界面)、和/或通过其它方法确定。在先前系统中,这种灵活性不可用。与此相反,本系统和方法可以被配置成使得用户可以针对任何形状相互作用设置单个值。在一些实施例中,此对应关系包括被限定为mrc的部分的规则。
[0119]
如上文所描述的,所述局部形状可以包括曲率、和/或其它局部形状。在一些实施例中,所述验证准则将所述图案特性的阈值指定为所述局部曲率的函数。所述函数可以是数学函数和/或可以包括其它规格机制,诸如分组(binning)、或查找表。在一些实施例中,所述图案特性包括最小线宽、临界尺寸、介于弯曲形状之间的最小间距、和/或其它图案特性。借助于非限制性示例,在一些实施例中,所述图案特性的所述阈值是间距阈值,并且所述验证准则将所述间距阈值指定为所述局部曲率的函数。所述函数可以被定义为连续函数、离散函数、和/或分段定义函数,等等。所述验证准则可以基于所述局部曲率为正或负(例如,曲率的定向或方向)、基于所述局部曲率的所确定的值(其也可以指示局部曲率为正或负)、和/或其它信息而指定阈值。
[0120]
图6示出(例如,掩模设计的)各种特征600、602、604、606、608的正曲率和负曲率的示例。箭头指向特征中的每个特征上的正局部曲率610和负局部曲率612的示例。如本文中所描述的,mrc(图案特性)阈值(例如,被包括在所述验证准则中)可以被定义为所述掩模特征局部曲率的函数。所述函数可以包括区分正局部曲率和负局部曲率,如这里中示出的,并且相应地限定mrc(图案特性)阈值。例如,图案特性阈值和/或其它验证准则可以对应于和/或区分正曲率和负曲率、从一个正局部曲率至另一正局部曲率的转变、从正局部曲率至负局部曲率的转变、从一个负局部曲率至另一负局部曲率的转变和/或其它曲率的转变。作为另一示例,图案特性阈值可以作为局部曲率值的数学函数而变化。
[0121]
图7示出700被限定为局部曲率704的函数的验证准则图案特性阈值702的示例。在这个示例中,阈值702是针对例如掩模特征706、708和710的间距特性。当局部曲率704跨越整个示例特征706、708和710从负变为正(如由每个特征上的虚线圈所指示),验证准则阈值702因此发生改变700。在这个示例中,阈值702在局部曲率704为零时处于其最大值710。除了其它优点以外,基于局部曲率而限定阈值的这种灵活性有助于掩模室mrc过程/检查限制的更准确映射。这些示例并非旨在是限制性的。
[0122]
返回至图3,在一些实施例中,操作304包括将类似的局部曲率分成组、和确定针对不同组的不同验证准则。例如,可以针对不同组的局部曲率确定不同间距(仅作为一个示例)阈值。组可以对应于单独的局部曲率值(例如,出现的任何曲率值x的阈值1)、局部曲率值的范围(例如,y-z范围内的任何局部曲率值的阈值1)、正局部曲率和负局部曲率(例如,正局部曲率的阈值1和负局部曲率的阈值2)、和/或其它局部曲率值。在一些实施例中,针对不同组而确定的不同验证准则可以对邻近特征和/或相对于一组的一个或更多个曲率的曲率做出解释。例如,不同组的验证准则可以考虑不同正局部曲率之间的转变(例如,第一正局部曲率的阈值1和邻近正局部曲率的阈值2)、正局部曲率与负局部曲率之间的转变(例如,正局部曲率的阈值1和邻近负局部曲率的阈值2)、不同负局部曲率之间的转变(例如,负局部曲率的阈值1和邻近负局部曲率的阈值2),等等。分组可以由用户以手动方式完成,或分组参数可以由系统优化和推荐至用户。
[0123]
在一些实施例中,操作304包括识别特征的区段上的两个部位、或不同特征的不同区段上的两个部位(和/或任何数目个区段和/或特征上的任何数目个部位),以及基于所述部位的局部曲率确定所述部位之间的图案特性的阈值。在这些实施例中,使用第一部位和第二部位作为示例,可以基于第一部位处的局部曲率来确定第一部位的第一阈值。可以基于第二部位处的局部曲率来确定第二部位的第二阈值。然而,第一部位处的局部曲率可以不同于第二部位处的局部曲率,使得每个部位的阈值不同(例如,这是因为基于如本文中所描述的不同的第一局部曲率和第二局部曲率来确定第一阈值和第二阈值)。操作304包括基于这些不同阈值来确定图案特性的阈值。当存在具有不同阈值的不同曲率时,决定/决策可能是必需的。在一些实施例中,操作304包括通过确定较保守的或较激进的不同阈值、对不同阈值进行加权来确定图案特性的阈值、和/或以其它方式确定图案特性的阈值。所使用的实际方法(例如,更保守的、更激进的、经加权的组合)可以被编程至当前系统和/或方法中、基于先前类似掩模特征的先前数据而确定、由用户以手动方式而确定(例如,经由用户接口)、和/或由其它方法确定。
[0124]
图8图示了识别不同特征804、806上的区段的两个部位800、802,和基于部位800、
802处的区段的局部曲率c1和c2来确定两个部位之间的图案特性的阈值。在这个示例中,两个部位之间的图案特性的阈值可以是间距阈值。如图8中示出,曲率c1和c2绘制在曲率810与间距阈值812曲线之间关系的标绘图上。阈值812依据曲率810改变814,如所示出。在一些实施例中,确定部位800与802之间的间距阈值812包括:基于部位800的局部曲率c1确定第一阈值820;基于部位802的局部曲率c2确定第二阈值822;和基于阈值820和822确定图案特性的阈值。在一些实施例中,这种确定可以包括将对应于部位800和曲率c1的阈值820确定为部位800和802的图案特性的阈值。这可以表示相对激进的方法,这是因为曲率c1的阈值820大于曲率c2的阈值822。或替代地,在一些实施例中,这种确定可以包括将对应于部位802和曲率c2的阈值822确定为部位800和802的图案特性的阈值。这可以表示相对保守的方法,因为曲率c2的阈值822小于曲率c1的阈值820。
[0125]
在一些实施例中,操作304(图3)可以包括确定第一阈值820与第二阈值822的加权组合。在一些实施例中,加权组合中针对不同阈值820、822所提供的权重可以基于曲率和/或其它信息来确定。例如,如图8中示出,曲率c1和c2也绘制在曲率810与间距阈值830的关系的标绘图曲线上。这里,相比于c2的阈值822的权重834,曲率c1的阈值820具有更高权重832。在一些实施例中,可以根据以下方程对阈值820和822进行加权:
[0126]
[(w(c1)x thresh(c1)) (w(c2)x thresh(c2))]/[w(c1) w(c2)]
ꢀꢀ
[2]
[0127]
其中w(c1)和w(c2)分别表示与c1或c2相关联的权重,并且thresh(c1)和thresh(c2)分别表示与c1或c2相关联的阈值。
[0128]
这些不同的可能方法(例如,更激进、更保守、加权)为用户提供用以限定验证准则的灵活性。这些不同的可能方法可以促成推进可能的掩模特征的限制(例如,给予图案设计者最大自由度),而同时仍保持掩模可制造性。在一些实施例中,更激进、更保守、和/或加权方法可以与作为曲率(例如,如图8中所示)的函数而变化的验证准则、分组、和/或本文中所描述的其它构思相组合。
[0129]
图8中所示的示例中的准确权重与曲率的相关性并非预期是限制性的。在一些实施例中,这种相关性可以由本系统和方法基于先前相似掩模特征的先前数据、由用户以手动方式(例如,经由用户接口/界面)、和/或通过其它方法来限定。应注意,这种示例可以扩展至具有对应局部曲率和所确定的阈值的任何数目个部位。
[0130]
返回至图3,在一些实施例中,方法300包括响应于对所述验证准则的违反来调整306一个或更多个特征。可以基于所述违反的量和/或类型、和/或基于其它信息来执行调整306。例如,可以基于掩模设计中的两个特征的间距违反间距阈值(其中所述间距阈值是基于如上文所描述的两个特征的对应区段的曲率而确定的)的量来进行调整306。作为另一示例,可以基于是否存在对间距验证准则阈值、临界尺寸验证准则阈值、线宽验证准则阈值、和/或其它违反类型的违反来进行调整306。
[0131]
在一些实施例中,违反通过以下操作来检测:(1)确定特征的尺寸、特征的形状、掩模设计中的特征的部位、和/或掩模设计中的特征相对于其它特征的位置;以及(2)将特征的尺寸、特征的形状、掩模设计中的特征的部位、和/或掩模设计中的特征相对于其它特征的位置与所述验证准则的对应阈值进行比较。如本文中所描述的,所述对应阈值随在如本文中所描述的特征的区段上的部位处的局部曲率变化。响应于所述比较显示特征的尺寸、特征的形状、掩模设计中的特征的部位、和/或掩模设计中的特征相对于其它特征的位置违
反所述验证准则的对应阈值,可以检测到违反。在一些实施例中,调整306包括改变特征的尺寸、特征的形状、掩模设计中的特征的部位、和/或掩模设计中的特征相对于其它特征的位置、和/或其它调整。
[0132]
在一些实施例中,调整306包括识别所述特征的两个或更多个相关的单独的区段、确定调整所述两个或更多个相关的单独的区段中的哪个区段或哪些区段、以及确定对所述两个或更多个相关的单独的区段中的每个区段的调整程度。在一些实施例中,基于对所述两个或更多个相关的单独的区段中的单独的区段的调整减去或除去/消除掉对应的违反的程度、和/或其它信息,确定调整两个或更多个相关的单独的区段中的哪个区段或哪些区段,和对给定区段的调整程度。
[0133]
在一些实施例中,调整306可以包括一个或更多个惩罚调整和/或其它调整。在一些实施例中,可以响应于对所述验证准则的违反来调整一个或更多个区段以优化成本函数。对违反的调整可以被视为与所述成本函数相关联的惩罚。所述一个或更多个惩罚调整可以包括对两个或更多个相关的单独的区段中的每个区段的相等调整、对两个或更多个相关的单独的区段中的仅一个区段的调整、或对两个或更多个相关的单独的区段中的每个区段的不相等调整。在一些实施例中,惩罚调整可以包括对与两个或更多个相关的单独的区段中的一个区段相邻的一个或更多个区段的调整。
[0134]
例如,图9图示了特征904的两个相关的单独的区段900、902,所述特征可以被识别,用于确定调整两个相关的单独的区段900、902中的哪个区段或哪些区段900、902,以及且用于确定对两个相关的单独的区段900、902中的每个区段的调整程度。区段900和902具有不同局部曲率。如上文所描述的,验证准则随区段900和902上的部位的局部曲率而发生变化。所述验证准则可以指定随局部曲率变化的图案特性阈值。验证准则可以单独地与区段900和902相关联,和/或验证准则可以与区段900和902(例如,如上文所描述的两个特征上的两个部位)一起相关联。
[0135]
在一些实施例中,可以响应于对所述验证准则的违反来调整一个或更多个区段900、902以优化成本函数。如上文所描述的,对违反的调整可以被视为与成本函数相关联的惩罚。成本函数可以用于确定调整两个相关的单独的区段900、902中的哪个区段或哪些区段、和用于确定对两个或更多个相关的单独的区段900、902中的每个区段的调整程度(例如,以致力于优化所述成本函数)。在这个示例中,每个区段900、902可以具有不同的单独的图案特性阈值(与它们的对应的局部曲率相关联)、和/或基于区段900、902两者的局部曲率而确定的共同图案特性阈值。
[0136]
在一些实施例中,区段900、902之间的共同阈值(例如,激进的、保守的、经加权的、分组,等等)可以如上文所描述的方式来限定。在一些实施例中,为了优化成本函数,可以确定和/或进行区段900、902之间的共同或相等惩罚调整(例如,每个区段可以被调整相同的量)。在一些实施例中,本系统和方法可以被配置成使得用户限定的惩罚共享旋钮(例如,可以经由用户接口接近即访问的虚拟旋钮)可以用于以不同方式基于它们的曲率来惩罚(例如,调整)掩模区段900、902(例如,2d区段可以相对于1d区段受更大程度的惩罚,具有较大曲率的区段可以比具有较小曲率的区段受更大程度的惩罚,等等)。在一些实施例中,额外的惩罚扩散参数可以用于向邻近(同一侧)区段共享所述惩罚。在一些实施例中,本系统和方法被配置成在不计算区段900、902的被分辨的阈值的情况下基于用户限定的惩罚权重共
享参数直接地促成区段之间的惩罚调整。这种调整可以通过共享局部轮廓mrc违反成本连同相对掩模轮廓区段来进行。因此,相对掩模轮廓区段将会对这种违反作出反应,即使根据其局部曲率规则其并未违反。可以由基于曲率的权重来控制成本共享的量,其中与其它相比,一些局部曲率可以将更多权重转移至相对的区段。
[0137]
作为非限制性示例,如果区段900单独地没有违反验证准则阈值,并且区段902违反验证准则阈值,则在区段900、902之间共享惩罚调整可能是有用的。在一些实施例中,使共享惩罚调整受加权(例如,基于用户设置)和/或以其它方式将其参数化可能是有用的。这允许用户控制每个区段被惩罚和/或被以其它方式调整的程度。例如,惩罚调整分布参数可以是限定在校正(调整)迭代期间被传送至相对的和/或其它相关区段的惩罚调整的程度的任何参数。在一些实施例中,这种惩罚调整分布参数也可以是曲率的函数,使得用户可控制对哪个区段进行调整的程度以解决违反。例如,本系统和方法可以被配置成决定具有相对较大曲率值的区段受到大部分调整以解决违反,即使所述区段为实际上造成所示违反的具有相对较小曲率值的对应区段。另外,惩罚调整可以被级联至邻近区段(例如,具有相同负曲率或正曲率)以确保一组区段集体地/共同地通过适当成本以用于成本函数优化。
[0138]
返回至图3,在一些实施例中,调整306包括首先确定实际调整。这可以是尺寸改变、形状改变、间距改变、曲率改变等的量或值。可以如所描述而使用所确定的调整来调整掩模设计的一个或更多个特征。然而,替代地和/或另外,在不执行任何实际调整的情况下,所确定的调整可以被通信至不同系统和/或用户、和/或用于其它操作中。这可以例如呈推荐和/或建议的形式、和/或其它形式。这种推荐和/或建议可以经由用户接口通信至用户,例如以电子方式通信至不同系统,和/或以其它方式通信。
[0139]
在一些实施例中,操作306包括确定对掩模图案的一个或更多个调整。所述操作也可以包括确定投影光学器件、照射源、和/或其它部件的对应调整。例如,图案、投影光学器件、照射源、和/或其它部件可以被调整直到满足终止条件为止。所述终止条件可以是阈值违反(如上文所描述的)的减少和/或消除,和或其它终止条件。在一些实施例中,所述终止条件包括对被图案化至衬底上的特征大致匹配目标设计的确定。在一些实施例中,给定的一个或更多个掩模特征可以与其它可调式光刻旋钮相组合而被调整以减少和/或消除阈值违反。换句话说,掩模图案、投影光学器件、照射源、和/或其它部件可以被调整,直到被图案化(例如,完全被图案化和/或建模)至衬底中的特征的尺寸、部位、和/或其它性质处于针对那些尺寸、部位、和/或其它性质的设计容许度内。
[0140]
在一些实施例中,例如,调整可以是对所述掩模图案、照射源的参数、投影光学器件的参数的迭代调整、和/或其它迭代调整。迭代调整可以继续进行直到满足终止条件为止(例如,直到被图案化于衬底上的一个或更多个特征充分地/完全匹配目标设计为止)。在一些实施例中,对图案的调整包括对设计变量(例如,特征尺寸、部位等;增加和/或减去辅助特征;等等)的调整。对照射源的参数的调整包括对照射的剂量、波长、强度和/或其它参数的调整。对投影光学器件的参数的调整可以包括光瞳调整,从而调整投影光学器件的狭缝和/或其它参数。
[0141]
在一些实施例中,在没有限制可调谐变量的可能值的范围的约束条件的情况下,执行对图案、照射源的参数、投影光学器件的参数的迭代调整和/或其它迭代调整,直至满足终止条件。在一些实施例中,在具有随曲率变化的限制至少一个可调谐变量的可能值的
范围的至少一个约束条件(例如,临界尺寸、最小线宽、介于弯曲形状之间的最小间距,等等)的情况下,执行对图案、照射源的参数、投影光学器件的参数的迭代调整,和/或其它迭代调整,直至满足终止条件。在一些实施例中,所述至少一个约束条件与掩模和/或制造所述掩模的实体特性、光刻投影设备的实体特性、或设计变量(例如,第一特征的区段的曲率)对于一个或更多个其它设计变量(例如,第二特征的相关区段的曲率)的依赖性中的一个或更多相关联。
[0142]
图10是可以用于本文中所描述的操作中的一个或更多个示例计算机系统cs的附图。计算机系统cs包括总线bs或用于传送信息的其它通信机构、和与所述总线bs耦合以用于处理信息的处理器pro(或多个处理器)。计算机系统cs也包括耦合至总线bs以用于储存将要由处理器pro执行的信息和指令的主存储器mm,诸如随机存取存储器(ram)或其它动态储存装置。主存储器mm也可以用于在处理器pro执行指令期间储存暂时性变量或其它中间信息。计算机系统cs还包括耦合至总线bs以用于储存用于处理器pro的静态信息和指令的只读存储器(rom)rom或其它静态储存装置。提供诸如磁盘或光盘的储存装置sd,并且所述储存装置sd耦合至总线bs以用于储存信息和指令。
[0143]
计算机系统cs可以由总线bs耦合至用于向计算机用户显示信息的显示器ds,诸如阴极射线管(crt),或平板或触控面板显示器。包括字母数字按键和其它按键的输入装置id耦合至总线bs以用于将信息和命令选择通信至处理器pro。另一类型的用户输入装置是用于将方向信息和命令选择通信至处理器pro且用于控制显示器ds上的光标移动的光标控制件cc,诸如鼠标、轨迹球或光标方向按键。这种输入装置通常在两个轴,第一轴(例如,x)和第二轴(例如,y)上具有两个自由度,这允许器件指定平面中的位置。触控面板(屏幕)显示器也可以用作输入装置。
[0144]
在一些实施例中,本文中所描述的或多种方法的部分可以通过计算机系统cs响应于处理器pro执行主存储器mm中所包含的一个或更多个指令的一个或更多个序列来执行。可以将这样的指令从诸如储存装置sd的另一计算机可读介质读取至主存储器mm中。主存储器mm中所包括的指令序列的执行使处理器pro执行本文中所描述的过程步骤(操作)。也可以使用呈多处理布置的一个或更多个处理器以执行主存储器mm中所包含的指令序列。在一些实施例中,硬线电路系统可以用于替代软件指令或与软件指令组合。因此,本文中的描述不限于硬线电路系统与软件的任何特定组合。
[0145]
本文中所使用的术语“计算机可读介质”是指参与将指令提供至处理器pro以供执行的任何介质。这样的介质可以呈许多形式,包括但不限于非易失性介质、易失性介质和传输介质。非易失性介质包括例如光盘或磁盘,诸如储存装置sd。易失性介质包括易失存储器,诸如主存储器mm。传输介质包括同轴缆线、铜线和光纤,包括包含总线bs的电线。传输介质也可以呈声波或光波的形式,诸如在射频(rf)和红外(ir)数据通信期间产生的那些声波或光波。计算机可读介质可以是非暂时性的,例如软盘、可挠性磁盘、硬盘、磁带、任何其它磁性介质、cd-rom、dvd、任何其它光学介质、打孔卡、纸带、具有孔图案的任何其它实体介质、ram、prom和eprom、flash-eprom、任何其它存储器芯片或卡匣。非暂时性计算机可读介质可以具有记录于其上的指令。指令可以在由计算机执行时实施本文中所描述的操作中的任一操作。暂时性计算机可读介质可以包括例如载波或其它传播电磁信号。
[0146]
各种形式的计算机可读介质可以涉及将一个或更多个指令的一个或更多个序列
承载至处理器pro以供执行。例如,最初可以将指令承载于远程计算机的磁盘上。远程计算机可以将指令加载至其易失存储器中,并且使用调制解调器经由电话线发送指令。在计算机系统cs本地的调制解调器可以接收电话线上的数据,并且使用红外传输器将数据转换为红外信号。耦合至总线bs的红外检测器可以接收红外信号中所承载的数据且将数据放置于总线bs上。总线bs将数据承载至主存储器mm,处理器pro从所述主存储器mm获取且执行指令。由主存储器mm所接收的指令可以可选地在由处理器pro执行之前或之后储存在储存装置sd上。
[0147]
计算机系统cs也可以包括耦合至总线bs的通信接口ci。通信接口ci提供对网络链路ndl的双向数据通信耦合,所述网络链路ndl连接至局域网lan。例如,通信接口ci可以是集成服务数字网络(isdn)卡或调制解调器以提供与相应类型的电话线的数据通信连接。作为另一示例,通信接口ci可以是局域网(lan)卡以提供对兼容lan的数据通信连接。也可以实施无线链路。在任何这样的实施方案中,通信接口ci发送和接收电信号、电磁信号或光信号,所述信号承载表示各种类型的信息的数字数据流。
[0148]
网络链路ndl通常经由一个或更多个网络而向其它数据装置提供数据通信。例如,网络链路ndl可以由局域网lan提供至主计算机hc的连接。这可以包括经由现通常被称为“因特网”int的全球封包数据通信网络提供的数据通讯信服务。局域网lan(因特网)可以使用承载数字数据流的电信号、电磁信号或光学信号。通过各种网络的信号和在网络数据链路ndl上且通过通信接口ci的信号是输送信息的示例性载波形式,所述信号将数字数据承载至计算机系统cs且从所述计算机系统承载数字数据。
[0149]
计算机系统cs可以由网络、网络数据链路ndl和通信接口ci发送消息和接收数据,包括程序代码。在因特网示例中,主计算机hc可以由因特网int、网络数据链路ndl、局域网lan以及通信接口ci传输应用程序的所请求的程序代码。例如,一个这样的被下载的应用程序可以提供本文中所描述的全部或部分方法。所接收的代码可以在其被接收时由处理器pro执行,和/或储存在储存装置sd或其它非易失性储存器中以供稍后执行。以这种方式,计算机系统cs可以获得呈载波的形式的应用代码。
[0150]
图11是根据实施例的光刻投影设备的示意图。光刻投影设备可以与本文中所描述的操作中的一个或更多相关联。例如,被验证的掩模设计可以用于以可拆卸方式与光刻投影设备耦合的掩模。光刻投影设备可以包括照射系统il、第一载物台mt、第二载物台wt和投影系统ps。照射系统il可以调节辐射束b。在这个示例中,照射系统也包括辐射源so。第一载物台(例如,图案形成装置台)mt可以设置有用于保持图案形成装置ma(例如,掩模或掩模版)的图案形成装置保持器,并且连接至用于相对于项目ps准确定位图案形成装置的第一定位器。第二载物台(例如衬底台)wt可以设有用于保持衬底w(例如,涂覆有抗蚀剂的硅晶片)的衬底保持器,并且连接至用于相对于物件ps准确地定位衬底的第二定位器。投影系统(例如,其包括透镜)ps(例如折射型、反射型或反射折射型光学系统)可以将图案形成装置ma的受辐照部分成像至衬底w的目标部分c(例如,包括一个或更多个管芯)上。可以例如使用图案形成装置对准标记m1、m2和衬底对准标记p1、p2来使图案形成装置ma与衬底w对准。
[0151]
如所描绘的,装置可以是透射型(即,具有透射型图案形成装置)。然而,通常,其也可以是反射型,例如(具有反射型图案形成装置)。装置可以采用用于典型掩模的不同种类的图案形成装置;示例包括可编程反射镜阵列或lcd矩阵。
[0152]
源so(例如,汞灯或准分子激光器、激光产生等离子体(lpp)euv源)产生辐射束。这种束是直接地或在已横穿诸如扩束器或束传递系统bd(包括定向反射镜、扩束器等)之类的调节装置之后馈入至照射系统(照射器)il中。例如,照射器il可以包括调整装置ad以用于设置束中的强度分布的外部径向范围和/或内部径向范围(通常分别称作σ-外部和σ-内部)。另外,照射器通常将包括各种其它部件,诸如积分器in和聚光器co。以这种方式,入射到图案形成装置ma上的束b在其横截面中具有期望的均一性和强度分布。
[0153]
在一些实施例中,源so可以在光刻投影设备的壳体内(常常是在源so为例如汞灯时的情况),但其也可以远离光刻投影设备。例如,源产生的辐射束可以(例如,借助于合适的定向反射镜)被引导至装置中。这种后一情境可以是例如在源so为准分子激光器(例如,基于krf、arf或f2激光作用)时的情况。
[0154]
束b可以随后拦截保持在图案形成装置台mt上的图案形成装置ma。在已横穿图案形成装置ma的情况下,束b可以穿过透镜pl,所述透镜将束b聚焦于衬底w的目标部分c上。借助于第二定位装置(和干涉测量装置if),可以准确地移动衬底台wt,例如以使不同的目标部分c定位在束b的路径中。类似地,第一定位装置可以用于例如在从图案形成装置库机械地获取图案形成装置ma之后或在扫描期间相对于束b的路径准确地定位图案形成装置ma。通常,可以借助于长行程模块(粗定位)和短行程模块(精定位)来实现载物台mt、wt的移动。然而,在步进器(相对于步进扫描工具)的情况下,图案形成装置台mt可以仅连接至短行程致动器,或可以是固定的。
[0155]
可以在两种不同模式(步进模式和扫描模式)下使用所描绘工具。在步进模式中,图案形成装置台mt基本上保持静止,并且整个图案形成装置图像被一次(即,单次“闪光”)投影至目标部分c上。衬底台wt可以在x和/或y方向上移位,使得不同的目标部分c可以由束pb照射。在扫描模式中,适用于基本上相同的情形,不同之处在于不在单次“闪光”中曝光给定目标部分c。替代地,图案形成装置台mt可以以速度v在给定方向(例如“扫描方向”,或“y”方向)上移动,使得使投影束b遍及图案形成装置(例如,掩模)图像扫描。并行地,衬底台wt以速度v=mv在相同方向或相反方向上同时移动,其中m是透镜的放大率(通常m=1/4或1/5)。以这种方式,可以在不必损害分辨率的情况下曝光相对较大的目标部分c。
[0156]
图12是可以用于和/或结合本文中所描述的操作中的一个或更多个另一示例光刻投影设备(lpa)的示意图。lpa可以包括源收集器模块so、被配置成调节辐射束b(例如,euv辐射)的照射系统(照射器)il、支撑结构mt、衬底台wt和投影系统ps。支撑结构(例如,图案形成装置台)mt可以被构造成支撑图案形成装置(例如,掩模或掩模版)ma且连接至被配置成准确定位图案形成装置的第一定位器pm。衬底台(例如,晶片台)wt可以被构造成保持衬底(例如,涂覆有抗蚀剂的晶片)w,并且连接至被配置成准确地定位衬底的第二定位器pw。投影系统(例如,反射性投影系统)ps可以被配置成将通过图案形成装置ma赋予至辐射束b的图案投影至衬底w的目标部分c(例如,包括一个或更多个管芯)上。
[0157]
如在这个示例中示出,lpa可以属于反射类型(例如,采用反射型图案形成装置)。应注意,因为大多数材料在euv波长范围内具吸收性,因此图案形成装置可以具有包括例如钼与硅的多重叠层的多层反射器。在一个示例中,多重叠层反射仪器有40层对钼与硅,其中每个层的厚度为四分之一波长。可以利用x射线光刻来产生甚至更小的波长。由于大多数材料在euv和x射线波长下具吸收性,因此图案形成装置形貌上的被图案化的吸收材料薄件
(例如,多层反射器的顶部上的tan吸收器)限定特征将印制(正性抗蚀剂)或不印制(负性抗蚀剂)之处。
[0158]
照射器il可以从源收集器模块so接收极紫外辐射束。产生euv辐射的方法包括但不一定限于利用euv范围内的一个或更多个发射谱线将材料转换成具有例如氙锂或锡的至少一种元素的等离子体状态。在常常被称为激光产生等离子体(“lpp”)的一类方法中,可以通过利用激光束辐照燃料,诸如具有谱线发射元素的材料液滴、串流或簇来产生等离子体。源收集器模块so可以是包括激光器(图12中未示出)的euv辐射系统的部分,所述激光器用于提供激发燃料的激光束。得到的等离子体发射例如euv辐射的输出辐射,所述输出辐射使用设置于源收集器模块中的辐射收集器来收集。例如,当使用co2激光器以提供用于燃料激发的激光束时,激光器和源收集器模块可以是分立的实体。在这个示例中,可以不将激光器认为形成光刻设备的部分,并且辐射束可以借助于包括例如合适的定向反射镜和/或扩束器的束传递系统而从激光器传递至源收集器模块。在其它示例中,例如,当源是通常被称为dpp源的放电产生等离子体euv产生器时,源可以是源收集器模块的组成部分。
[0159]
照射器il可以包括用于调整辐射束的角强度分布的调整器。通常,可以调整照射器的光瞳平面中的强度分布的至少外部径向范围和/或内部径向范围(通常分别称作σ-外部和σ-内部)。另外,照射器il可以包括各种其它部件,诸如琢面场反射镜装置和琢面光瞳反射镜装置。照射器可以用于调节辐射束,以在其横截面中具有期望的均一性和强度分布。
[0160]
辐射束b可以入射到保持在支撑结构(例如,图案形成装置台)mt上的图案形成装置(例如,掩模)ma上,并且由所述图案形成装置图案化。在从图案形成装置(例如,掩模)ma反射之后,辐射束b穿过投影系统ps,所述投影系统ps将所述束聚焦至衬底w的目标部分c上。借助于第二定位器pw和部位传感器ps2(例如,干涉装置、线性编码器或电容式传感器),可以准确移动衬底台wt(例如,以便将不同的目标部分c定位在辐射束b的路径中)。类似地,第一定位器pm和另一部位传感器ps1可以用于相对于辐射束b的路径来准确地定位图案形成装置(例如掩模)ma。可以使用图案形成装置对准标记m1、m2和衬底对准标记p1、p2来使图案形成装置(例如,掩模)ma与衬底w对准。
[0161]
所描绘的装置lpa可以用于以下模式中的至少一种模式:步进模式、扫描模式和静止模式。在步进模式中,赋予至辐射束的整个图案被一次(即,单次静态曝光)投影至目标部分c上时,支撑结构(例如,图案形成装置台)mt和衬底台wt保持基本上静止。接着,衬底台wt在x和/或y方向上移位,使得可以曝光不同的目标部分c。在扫描模式中,赋予至辐射束的图案被投影至目标部分c上时,同步扫描支撑结构(例如,图案形成装置台)mt和衬底台wt(即,单次动态曝光)。衬底台wt相对于支撑结构(例如,图案形成装置台)mt的速度和方向可以由投影系统ps的放大率(缩小率)和图像反转特性来确定。在静止模式中,赋予至辐射束的图案被投影至目标部分c上时,保持可编程图案形成装置的支撑结构(例如,图案形成装置台)mt保持基本上静止,并且移动或扫描衬底台wt。在这种模式中,通常使用脉冲辐射源,并且在每次移动衬底台wt之后或在扫描期间的连续辐射脉冲之间根据需要更新可编程图案形成装置。这种操作模式可以易于应用于利用可编程图案形成装置,诸如如上文提及的类型的可编程反射镜阵列的无掩模光刻术。
[0162]
图13是图14中示出的光刻投影设备的更详细视图。如图13中示出,lpa可以包括源收集器模块so、照射系统il和投影系统ps。源收集器模块so被配置成使得可以在源收集器
模块so的围封结构220中维持真空环境。euv辐射发射等离子体210可以由放电产生等离子体源形成。可以通过气体或蒸汽,例如xe气体、li蒸汽或sn蒸汽产生euv辐射,其中产生热等离子体210以发射在电磁光谱的euv范围内的辐射。例如,热等离子体210通过引起被至少部分离子化的等离子体的放电来产生。为了辐射的高效产生,可能需要为例如10pa的分压的xe、li、sn蒸汽或任何其它合适的气体或蒸汽。在一些实施例中,提供被激发的锡(sn)的等离子体以产生euv辐射。
[0163]
由热的等离子体210发射的辐射经由定位在源腔室211中的开口中或后方的可选的气体屏障或污染物陷阱230(在一些情况下,也被称为污染物屏障或翼片阱)而从源腔室211传递至收集器腔室212中。污染物陷阱230可以包括通道结构。污染物陷阱230也可以包括气体屏障或气体屏障与通道结构的组合。污染物陷阱或污染物屏障230(描述如下)也包括通道结构。收集器腔室211可以包括可以是掠入射收集器的辐射收集器co。辐射收集器co具有上游辐射收集器侧251和下游辐射收集器侧252。横穿收集器co的辐射可以从光栅光谱滤波器240反射以沿由线“o”指示的光轴聚焦于虚拟源点if中。虚拟源点if通常被称为中间焦点,并且源收集器模块被布置以使得中间焦点if位于围封结构220中的开口221处或附近。虚拟源点if是辐射发射等离子体210的图像。
[0164]
随后,辐射横穿照射系统il,所述照射系统可以包括琢面场反射镜装置22和琢面光瞳反射镜装置24,所述装置被布置以提供在图案形成装置ma处的辐射束21的期望的角分布、以及在图案形成装置ma处的辐射强度的期望的均一性。在由支撑结构mt保持的图案形成装置ma处反射辐射束21后,形成被图案化的束26,并且所述被图案化的束26通过投影系统ps经由反射元件28、30成像至由衬底台wt保持的衬底w上。比所示出的元件更多的元件通常可以存在于照射光学器件单元il和投影系统ps中。依赖于例如光刻设备的类型,可以可选地存在光栅光谱滤波器240。另外,可以存在与各图所示出的反射镜相比更多的反射镜,例如在投影系统ps中可以存在比图13所示出的反射元件多1至6个的额外的反射元件。
[0165]
如图13中示出的,描绘了具有掠入射反射器253、254和255的巢状收集器的收集器光学器件co,仅作为收集器(或收集器反射镜)的示例。掠入射反射器253、254和255被设置为围绕光轴o轴向对称,并且这种类型的收集器光学器件co可以与常常称为dpp源的放电产生等离子体源组合使用。
[0166]
图14是(先前附图中示出的)光刻投影设备lpa的源收集器模块so的详细视图。源收集器模块so可以是lpa辐射系统的部分。激光器la可以被布置以将激光能量沉积至诸如氙(xe)、锡(sn)或锂(li)的燃料中,从而产生具有数十电子伏特(ev)的电子温度的被高度离子化的等离子体210。在这些离子的去激发和再结合期间所产生的高能辐射从等离子体发射,由近正入射收集器光学器件co收集,并且聚焦至围封结构220中的开口221上。
[0167]
本文中所公开的概念可以模拟用于使子波长特征成像的任何通用成像系统或以数学方式建模,并且尤其可以用于能够产生越来越短波长的新兴成像技术。已在使用中的新兴技术包括能够通过使用氟激光器产生193nm波长且甚至能够通过使用氟激光器产生157nm波长的极紫外(euv)、duv光刻。此外,euv光刻能够通过使用同步加速器或通过利用高能电子轰击材料(固体或等离子体)而产生在20nm至5nm的范围内的波长,以便产生该范围内的光子。
[0168]
可以通过以下方面进一步描述本公开的实施例。
[0169]
1.一种用于调整掩模设计的特征的方法,所述方法包括:
[0170]
确定所述特征的局部形状;
[0171]
基于所述局部形状确定是否存在所述特征对验证准则的违反,其中所述验证准则指定图案特性的阈值与局部形状之间的对应关系;和
[0172]
基于所检测的违反来调整所述特征。
[0173]
2.根据方面1所述的方法,其中,所述调整包括改变所述特征的尺寸、所述特征的形状、所述掩模设计中的所述特征的部位、和/或所述掩模设计中的所述特征相对于其它特征的位置。
[0174]
3.根据方面1或2所述的方法,其中,所述局部形状包括所述特征的区段上的单独的部位的局部曲率。
[0175]
4.根据方面3所述的方法,其中,确定所述局部曲率包括对区段执行曲线拟合、和/或确定区段的局部角度偏差。
[0176]
5.根据方面3至4中任一项所述的方法,其中,所述图案特性的所述阈值是间距阈值,并且其中所述验证准则将所述间距阈值指定为所述局部曲率的函数。
[0177]
6.一种用于验证掩模设计的特征的方法,所述方法包括:
[0178]
确定所述特征的局部形状;和
[0179]
基于所述局部形状确定是否存在所述特征对验证准则的违反,其中所述验证准则指定图案特性的阈值与局部形状之间的对应关系。
[0180]
7.根据方面6所述的方法,其中,所述局部形状包括所述特征的区段上的单独的部位的局部曲率。
[0181]
8.根据方面7所述的方法,其中,确定所述局部曲率包括对单独的区段执行曲线拟合、和/或确定单独的区段的局部角度偏差。
[0182]
9.根据方面7至8中任一项所述的方法,其中,所述图案特性的所述阈值是间距阈值,并且其中所述验证准则将所述间距阈值指定为所述局部曲率的函数。
[0183]
10.根据方面7至9中任一项所述的方法,还包括将类似的局部曲率分成组,并且针对不同组确定不同验证准则。
[0184]
11.根据方面7至10中任一项所述的方法,还包括:识别特征上的两个部位或不同特征上的两个部位、以及基于所述部位的局部曲率确定所述图案特性的在所述两个部位之间的所述阈值。
[0185]
12.根据方面11所述的方法,其中所述图案特性的在所述两个部位之间的所述阈值是间距阈值,并且其中确定所述两个部位之间的所述间距阈值包括:
[0186]
基于第一部位的局部曲率确定第一阈值;
[0187]
基于第二部位的局部曲率确定第二阈值;和/或
[0188]
确定所述第一阈值与所述第二阈值的加权组合。
[0189]
13.根据方面6至12中任一项所述的方法,其中,所述图案特性包括最小线宽、临界尺寸、或弯曲形状之间的最小间距。
[0190]
14.根据方面6至13中任一项所述的方法,其中,所述掩模设计的所述特征是自由曲线掩模特征。
[0191]
15.根据方面6至14中任一项所述的方法,还包括响应于所述特征对所述验证准则
的违反而基于所述违反来调整所述特征。
[0192]
16.根据方面15所述的方法,其中,所述违反是由以下操作来检测的:(1)确定所述特征的尺寸、所述特征的形状、所述掩模设计中的所述特征的部位、和/或所述掩模设计中的所述特征相对于其它特征的位置;以及(2)将所述特征的所述尺寸、所述特征的所述形状、所述掩模设计中的所述特征的所述部位、和/或所述掩模设计中的所述特征相对于其它特征的位置与所述验证准则的对应阈值进行比较。
[0193]
17.根据方面15至16中任一项所述的方法,其中,所述调整包括改变所述特征的尺寸、所述特征的形状、所述掩模设计中的所述特征的部位、和/或所述掩模设计中的所述特征相对于其它特征的位置。
[0194]
18.根据方面15至17中任一项所述的方法,其中,所述调整包括识别所述特征的两个或更多个相关的单独的区段、确定所述两个或更多个相关的单独的区段中的哪个区段或哪些区段待调整、以及确定对所述两个或更多个相关的单独的区段中的每个区段的调整程度。
[0195]
19.根据方面18所述的方法,其中,基于对所述两个或更多个相关的单独的区段中的单独的区段的调整减去或排除对应的违反的程度,确定调整所述两个或更多个相关的单独的区段中的哪个区段或哪些区段,以及对给定区段的调整程度。
[0196]
20.根据方面18至19中任一项所述的方法,其中,所述调整包括一个或更多个惩罚调整,所述一个或更多个惩罚调整包括对所述两个或更多个相关的单独的区段中的每个区段的相等调整、对所述两个或更多个相关的单独的区段中的仅一个区段的调整,或对所述两个或更多个相关的单独的区段中的每个区段的不相等调整。
[0197]
21.一种非暂时性计算机可读介质,在所述非暂时性计算机可读介质上具有指令所述指令在由计算机执行时使得所述计算机:
[0198]
确定掩模设计的特征的局部形状;和
[0199]
基于所述局部形状确定是否存在所述特征对验证准则的违反,其中所述验证准则指定图案特性的阈值与局部形状之间的对应关系。
[0200]
22.根据方面21所述的介质,其中,所述局部形状包括所述特征的区段上的单独的部位的局部曲率。
[0201]
23.根据方面22所述的介质,其中,确定所述局部曲率包括对单独的区段执行曲线拟合、和/或确定单独的区段的局部角度偏差。
[0202]
24.根据方面22至23中任一项所述的介质,其中,所述图案特性的所述阈值是间距阈值,并且其中所述验证准则将所述间距阈值指定为所述局部曲率的函数。
[0203]
25.根据方面22至24种任一项所述的介质,其中,所述指令还被配置成使计算机将类似的局部曲率分成组,并且针对不同组确定不同验证准则。
[0204]
26.根据方面22至25中任一项所述的介质,其中,所述指令还被配置成使计算机识别特征上的两个部位或不同特征上的两个部位、以及基于所述部位的局部曲率确定所述图案特性的在所述两个部位之间的所述阈值。
[0205]
27.根据方面26所述的介质,其中所述图案特性的在所述两个部位之间的所述阈值是间距阈值,并且其中确定所述两个部位之间的所述间距阈值包括:
[0206]
基于第一部位的局部曲率确定第一阈值;
[0207]
基于第二部位的局部曲率确定第二阈值;和/或
[0208]
确定所述第一阈值与所述第二阈值的加权组合。
[0209]
28.根据方面21至27中任一项所述的介质,其中,所述图案特性包括最小线宽、临界尺寸、或弯曲形状之间的最小间距。
[0210]
29.根据方面21至28中任一项所述的介质,其中,所述掩模设计的所述特征是自由曲线掩模特征。
[0211]
30.根据方面21至29中任一项所述的介质,其中,所述指令还被配置成响应于所述特征对所述验证准则的违反而使计算机基于所述违反来调整所述特征。
[0212]
31.根据方面30所述的介质,其中,所述违反是由以下操作来检测的:(1)确定所述特征的尺寸、所述特征的形状、所述掩模设计中的所述特征的部位、和/或所述掩模设计中的所述特征相对于其它特征的位置;以及(2)将所述特征的所述尺寸、所述特征的所述形状、所述掩模设计中的所述特征的所述部位、和/或所述掩模设计中的所述特征相对于其它特征的位置与所述验证准则的对应阈值进行比较。
[0213]
32.根据方面30至31中任一项所述的介质,其中,所述调整包括改变所述特征的尺寸、所述特征的形状、所述掩模设计中的所述特征的部位、和/或所述掩模设计中的所述特征相对于其它特征的位置。
[0214]
33.根据方面30至32中任一项所述的介质,其中,所述调整包括识别所述特征的两个或更多个相关的单独的区段、确定所述两个或更多个相关的单独的区段中的哪个区段或哪些区段待调整、以及确定对所述两个或更多个相关的单独的区段中的每个区段的调整程度。
[0215]
34.根据方面33所述的介质,其中,基于对所述两个或更多个相关的单独的区段中的单独的区段的调整减去或排除对应的违反的程度,确定调整所述两个或更多个相关的单独的区段中的哪个区段或哪些区段,以及对给定区段的调整程度。
[0216]
35.根据方面33至34中任一项所述的介质,其中,所述调整包括一个或更多个惩罚调整,所述一个或更多个惩罚调整包括对所述两个或更多个相关的单独的区段中的每个区段的相等调整、对所述两个或更多个相关的单独的区段中的仅一个区段的调整,或对所述两个或更多个相关的单独的区段中的每个区段的不相等调整。
[0217]
虽然本文中所公开的概念可以用于在诸如硅晶片之类的衬底上成像,但应理解,所公开的概念可以与任何类型的光刻成像系统一起使用,例如用于在不同于硅晶片的衬底上成像的那些光刻成像系统。此外,所公开的元件的组合和子组合可以包括单独的实施例。例如,确定增强mrc准则可以包括其自身的单独的实施例,或其可以包括也包括执行实际检测的一个或更多个其它实施例,如本文中所描述的。
[0218]
以上描述意图为说明性而不是限制性的。因此,本领域技术人员将明白,可以在不背离下文所陈述的权利要求的范围的情况下如所描述的那样进行修改。
再多了解一些

本文用于创业者技术爱好者查询,仅供学习研究,如用于商业用途,请联系技术所有人。

发表评论 共有条评论
用户名: 密码:
验证码: 匿名发表

相关文献