一种残膜回收机防缠绕挑膜装置的制 一种秧草收获机用电力驱动行走机构

衬底处理系统、装载端口和方法与流程

2022-06-11 15:25:18 来源:中国专利 TAG:


1.本公开涉及衬底处理系统、装载端口和方法。


背景技术:

2.集成电路(ic)用于各种电子器件中,例如计算机、照相机、蜂窝电话、平板电脑等。通常通过一系列处理步骤在晶圆上制造ic,例如材料沉积、图案化、蚀刻、清洁、干燥、单片化等。在一些应用中,ic制造步骤包括湿法清洁,该湿法清洁包括将要清洁的晶圆浸入液体中以去除污染物。在湿法清洁之后,将清洁后的晶圆安装在称为“旋转干燥器(spin dryer)”的旋转干燥设备中,并且由旋转干燥器高速旋转以通过离心力去除残留在清洁后的晶圆上的液体。在这样的高速旋转期间,旋转干燥器和/或安装在其中的晶圆的旋转平衡是ic制造过程和/或设备中的考虑因素。


技术实现要素:

3.根据本公开的一个实施例,提供了一种用于晶圆处理的系统,包括:至少一个传感器,被配置为生成:第一重量信号,对应于第一批衬底的第一重量,以及第二重量信号,对应于第二批衬底的第二重量,至少一个控制器,耦合到所述至少一个传感器以接收所述第一重量信号和所述第二重量信号,所述至少一个控制器被配置为:将所述第一重量与所述第二重量之间的重量差转换为各自具有预定重量的衬底的数量,以及基于转换后的衬底的数量,控制处理设备以同时旋转所述第一批衬底和所述第二批衬底。
4.根据本公开的另一实施例,提供了一种用于晶圆处理系统的装载端口,所述装载端口包括:第一盒支撑件,被配置为在其上支撑包含第一批晶圆的第一盒;至少一个第一传感器,耦合到所述第一盒支撑件并被配置为生成与所述第一盒和所述第一批晶圆的第一总重量相对应的第一重量信号;第二盒支撑件,被配置为在其上支撑包含第二批晶圆的第二盒;至少一个第二传感器,耦合到所述第二盒支撑件并被配置为生成与所述第二盒和所述第二批晶圆的第二总重量相对应的第二重量信号;以及微控制器mcu,耦合到所述至少一个第一传感器和所述至少一个第二传感器以分别接收所述第一重量信号和所述第二重量信号,所述mcu被配置为:将所述第一总重量与所述第二总重量之间的重量差转换为各自具有预定重量的晶圆的数量,生成指示所述第一批晶圆是比所述第二批晶圆更重还是更轻的指示符,以及将所述指示符和所述转换后的晶圆数量输出到所述晶圆处理系统的处理设备的控制器,以使所述控制器能够控制所述处理设备以同时平衡所述第一批晶圆和所述第二批晶圆的旋转。
5.根据本公开的又一实施例,提供了一种用于晶圆处理的方法,包括:获取第一批衬底的第一重量与第二批衬底的第二重量之间的重量差;将所述重量差转换为各自具有预定重量的衬底的数量;生成指示所述第一重量是比所述第二重量更重还是更轻的指示符;以及基于所述转换后的衬底的数量和所述指示符,控制处理设备以同时平衡所述第一批衬底和所述第二批衬底的旋转。
附图说明
6.当结合附图阅读时,根据以下详细描述可以最好地理解本公开的各方面。注意,根据行业中的标准实践,各种特征未按比例绘制。实际上,为了清楚起见,各种特征的尺寸可以任意增大或减小。
7.图1是根据一些实施例的晶圆处理系统的示意图。
8.图2是根据一些实施例的衬底处理系统的示意图。
9.图3a是根据一些实施例的盒支撑件(cassette support)的示意性侧视图。
10.图3b是根据一些实施例的图3a中的盒支撑件的示意性局部俯视图。
11.图3c是根据一些实施例的盒支撑件上的传感器布置以及相应的控制器的示意图。
12.图4是根据一些实施例的方法的流程图。
13.图5是根据一些实施例的控制器的框图。
14.图6是根据一些实施例的ic制造系统和与其相关联的ic制造流程的框图。
具体实施方式
15.以下公开提供了用于实现所提供的主题的不同特征的许多不同的实施例或示例。以下描述了组件、值、步骤、操作、材料和布置等的具体示例以简化本公开。当然,这些仅仅是示例,并且不旨在进行限制。考虑了其他组件、值、步骤、操作、材料和布置等。例如,在下面的描述中,在第二特征上方或之上形成第一特征可以包括直接接触地形成第一和第二特征的实施例,并且还可以包括在第一特征和第二特征之间形成附加特征使得第一和第二特征可以不直接接触的实施例。另外,本公开可以在各个示例中重复参考数字和/或字母。该重复是出于简单和清楚的目的,并且其本身并不指示所讨论的各种实施例和/或配置之间的关系。
16.此外,为了便于描述,本文中可以使用空间相对术语,例如“在...之下”、“在...下方”、“下方的”、“在...上方”、“上面的”等,以描述如图所示的一个元件或特征与另一元件(多个元件)或特征(多个特征)的关系。除了在图中描述的定向之外,空间相对术语还旨在涵盖器件在使用或操作中的不同定向。装置可以以其他方式定向(旋转90度或以其他定向),并且在此使用的空间相对描述语可以同样地被相应地解释。
17.在一些实施例中,至少一个传感器被用于检测要安装在旋转干燥器中的不同批次(lot)的晶圆之间的重量差。重量差被转换成各自具有预定的晶圆重量的晶圆的数量。转换后的晶圆数量被输入到旋转干燥器的控制器中。控制器使用输入的晶圆数量来控制旋转干燥器的平衡机构,以在旋转干燥器中同时转动或旋转不同批次的晶圆时实现旋转平衡。由于输入到旋转干燥机的控制器中的晶圆数量是根据要旋转的各批次晶圆之间的重量差而转换的,因此精确反映了旋转干燥器内部的重量分布,从而在至少一个实施例中在旋转干燥器的旋转操作期间改善了旋转平衡。在其他方法中,输入到旋转干燥器的控制器中的一个或多个晶圆数量反映了要旋转的不同批次晶圆中的晶圆的数量差而不是重量差。结果,当要旋转的各批次晶圆包括具有不同晶圆重量的晶圆时,在这些其他方法中输入到旋转干燥器的控制器中的晶圆数量有可能不能准确地反映旋转干燥器内部重量的分布,从而导致旋转不平衡、警报或制造工艺停止的风险。本文所描述的至少一个实施例使得可以避免其他方法的这种缺点。
18.图1是根据一些实施例的晶圆处理系统100的示意图。晶圆处理系统100包括装载端口120、机械手(robot)130、多个处理设备140、141和控制器150。在一些实施例中,晶圆处理系统100是湿式清洗台工具。
19.装载端口120被配置为将晶圆装载或传送到晶圆处理系统100中,以通过一个或多个处理设备140、141进行处理。在图1中的示例配置中,装载端口120还被配置为在被一个或多个处理设备140、141处理之后,从晶圆处理系统100卸载或传送晶圆。在另一示例中,晶圆处理系统100还包括单独的卸载端口(未示出)以卸载处理过的晶圆。在至少一个实施例中,一批次或一批晶圆容纳在盒中,该盒被装载到装载端口120中。
20.机械手130被配置为将装载的晶圆从装载端口120传送到一个或多个处理设备140、141并依次通过一个或多个处理设备140、141。在至少一个实施例中,晶圆处理系统100包括多于一个的机器手130和/或多于一个的装载端口120。
21.处理设备140、141被配置对传送的晶圆执行一种或多种工艺或处理,例如等离子体工艺、化学气相沉积(cvd)、原子层沉积(ald)、物理气相沉积(pvd)、退火、蚀刻、脱气、预清洁、清洁、后清洁、干燥等。处理设备140、141包括旋转干燥器141,该旋转干燥器141被配置为如本文描述的通过旋转晶圆来去除晶圆中的液体或其他污染物。在图1中的示例配置中,处理设备140、141沿着直线布置。其他配置在各种实施例的范围内。
22.控制器150耦合至装载端口120、机械手130和处理设备140、141,并且被配置为控制晶圆装载、传送、处理和卸载。图1示出了一个控制器150,在至少一个实施例中,一个或多个其他控制器(未示出)被包括在晶圆处理系统100中。每个这样的其他控制器专用于与控制器150协作地或在控制器150的控制下来控制装载端口120、机械手130、或处理设备140、141。例如,装载端口120和旋转器干燥器141中的每个具有专用控制器,如本文所述。在一个或多个实施例中,晶圆处理系统100的控制器包括硬件平台,例如与存储器耦合的处理器或芯片,该硬件平台可由软件和/或固件编程以执行本文描述的一个或多个功能、操作或工艺。在一些实施例中,晶圆处理系统100的控制器包括专用硬件电路,例如,以专用集成电路(asic)的形式硬连线以执行本文描述的一个或多个功能、操作或工艺。参照图5描述晶圆处理系统100的控制器的另一示例硬件配置。
23.图2是根据一些实施例的衬底处理系统200的示意图。衬底处理系统200包括:用于容纳衬底的装载部220、用于转动或旋转衬底的处理设备240、以及用于控制装载部220或处理设备240中的至少一个的控制器250。在一些实施例中,衬底处理系统200要处理或加工的衬底是晶圆。例如,衬底处理系统200对应于晶圆处理系统100,装载部220对应于装载端口120,处理设备240对应于旋转干燥器141,并且控制器250对应于晶圆处理系统100的一个或多个控制器。然而,在各个实施例中,衬底处理系统200被配置为处理或加工晶圆以外的衬底。为了简单起见,在本文下面描述的一些实施例中,除非另有说明,否则要由衬底处理系统200处理或加工的衬底是晶圆。
24.装载部220被配置为容纳第一批晶圆221和第二批晶圆222。第一批晶圆221被包含在第一盒223中,第二批晶圆222被包含在第二盒224中。虽然在图2中为了说明的目的将第一批晶圆221示出为在第一盒223的外部,但是当第一批晶圆221被传送到装载部220时,第一批晶圆221被包含在第一盒223中,并且沿着垂直于图2的平面的轴线布置。同样地,当第二批晶圆222被传送到装载部220时,第二批晶圆222被包含在第二盒224中并且沿着垂直于
图2的平面的轴线布置。
25.装载部220包括第一盒支撑件225,第二盒支撑件226、多个第一杆(rod)227、多个第二杆228、平台229、对应于第一杆227的多个第一传感器231、对应于第二杆228的多个第二传感器232、多个传感器支撑件233和另一平台234。第一盒支撑件225被配置为在其上支撑包含第一批晶圆221的第一盒223。第二盒支撑件226被配置为在其上支撑包含第二批晶圆222的第二盒224。
26.每个第一杆227从第一盒支撑件225的底部向下延伸穿过平台229,以搁置在相应的第一传感器231的端部。对应的第一传感器231的相对端部由另一平台234上的对应的一个传感器支撑件233从下方支撑。第一杆227被配置为将与第一盒223和第一批晶圆221的第一总重量相对应的第一载荷传送到第一传感器231。从第一杆227施加的第一载荷导致第一传感器231变形并生成对应于第一总重量的第一重量信号s1。
27.每个第二杆228从第二盒支撑件226的底部向下延伸穿过平台229,以搁置在相应的第二传感器232的端部。对应的第二传感器232的相对端部由另一平台234上的对应的一个传感器支撑件233从下方支撑。第二杆228被配置为将与第二盒224和第二批晶圆222的第二总重量相对应的第二载荷传送到第二传感器232。从第二杆228施加的第二载荷导致第二传感器232变形并生成对应于第二总重量的第二重量信号s2。第一传感器231和/或第二传感器232的示例包括但不限于压力传感器、重量传感器、力传感器、应变仪、测力计、压电传感器、光纤传感器、电容传感器等。
28.第一杆227和第二杆228穿过平台229的所述延伸确保了第一杆227和第二杆228的稳定运动、和/或到第一传感器231和第二传感器232的可靠的载荷传送。然而,用于将载荷传送到第一传感器231和/或第二传感器232的其他布置在各种实施例的范围内。此外,所描述的用于使第一传感器231和第二传感器232变形的在一侧的第一杆227和第二杆228以及在相对侧的传感器支撑件233的布置是示例,以及用于使第一传感器231和/或第二传感器232变形的其他布置都在各种实施例的范围内。
29.在至少一个实施例中,装载部220包括用于生成第一重量信号s1的单个第一传感器231和/或用于生成第二重量信号s2的单个第二传感器232就足够了。在一些实施例中,装载部220包括单个盒支撑件就足够了,例如具有相应的一个或多个第一传感器231的第一盒支撑件225,用于生成第一重量信号s1和第二重量信号s2二者。例如,首先将其中包含第一批晶圆221的第一盒223放置在第一盒支撑件225上以使一个或多个第一传感器231生成第一重量信号s1,然后将第一盒223从第一盒支撑件225移除,并将具有第二批晶圆222的第二盒224放置在第一盒支撑件225上,以使一个或多个第一传感器231生成第二重量信号s2。
30.在至少一个实施例中,装载部220进一步包括晶圆计数器235,用于对第一批晶圆221和/或第二批晶圆222中的晶圆数量进行计数。例如,如图2中的虚线所示,在通过第一传感器231对第一批晶圆221和第一盒223称重之前或之后,其中包含有第一批晶圆221的第一盒223被支撑在平台229上的支撑件236上。晶圆计数器235通过平台229中的开口237向上升高,以接近第一批晶圆221中的晶圆,这些晶圆通过第一盒223的底部上的开口238暴露。晶圆计数器235沿着第一批晶圆221中的晶圆被布置的轴(即,垂直于图2的平面)移动,以计数第一批晶圆221中的晶圆的数量。在计数之后,晶圆计数器235向下缩回。晶圆计数器235的示例配置包括光源和光传感器。每当由光源产生的光被晶圆阻挡并且变得未被光传感器检
测到时,就对晶圆进行计数。尽管根据至少一个实施例未在处理设备240中使用由晶圆计数器235计数的晶圆数量来控制或实现旋转平衡,但是所计数的晶圆数量可用于衬底处理系统200的其他处理设备。在至少一个实施例中,省略了晶圆计数器235。
31.如上所述,在至少一个实施例中,装载部220对应于装载端口120,并且装载部220的所描述的组件包括在装载端口120中。在至少一个实施例中,装载部220包括在除装载端口120以外的处理设备中。在至少一个实施例中,装载部220包括在处理设备240或旋转干燥器141中。在至少一个实施例中,装载部220被配置在既不是晶圆处理系统的装载端口也不是晶圆处理系统的处理设备的单独设备中。
32.处理设备240被配置为当多批晶圆被安装在处理设备240中时,同时转动或旋转第一批晶圆221和第二批晶圆222。在至少一个实施例中,处理设备240是诸如旋转干燥器141之类的旋转干燥器。然而,处理设备240的除了用于干燥晶圆之外的应用也在各种实施例的范围内。例如,在至少一个实施例中,处理设备240被配置用于涉及多批衬底的同时旋转,同时实现这种旋转的旋转平衡的任何应用。为了简单起见,在下面描述的一些实施例中,处理设备240被称为旋转干燥器240。
33.旋转干燥器240包括框架(或转台)241、被配置为使框架241围绕旋转轴243旋转的电机242、以及平衡机构244。框架241被配置为支撑并固定要旋转的晶圆。例如,在装载部220处的所述称重操作之后,诸如机械手130之类的机械手从第一盒223中移除第一批晶圆221,并将第一批晶圆221传送到框架241上的相应的位置(用数字“1”表示)。类似地,机械手从第二盒224移除第二批晶圆222,并将第二批晶圆222传送到框架241上的相应位置(用数字“2”表示)。第一批晶圆221和第二批晶圆222被固定到框架241,并且将通过电机242与框架241同时旋转。然而,如果第一批晶圆221和第二批晶圆222中的一个比另一个重,则其上具有多批晶圆的框架241的旋转变得不平衡。不平衡的旋转潜在地导致框架241和/或旋转干燥器240的其他部件的振动、过度磨损或甚至故障。为了通过在旋转操作中实现框架241的旋转平衡来避免或减少这样的问题,提供了平衡机构244。
34.平衡机构244包括细长支撑件245、配重(counterweights)246、247和致动器248。细长支撑件245延伸跨过框架241,通过旋转轴243,在要安装第一批晶圆221和第二批晶圆222的位置“1”和“2”之间并与它们相距相同的距离。配重246、247被安装在细长支撑件245上,以可在垂直于细长支撑件245的长度的方向上移动,如图2中的双端箭头所示。致动器248被配置为在控制器(例如控制器250)的控制下移动配重246、247。致动器248的示例包括但不限于伺服电机、气缸等。当安装在框架241上的一批晶圆比另一批重时,控制器250控制致动器248以使配重246、247朝向较轻的批移动,以在细长支撑件245的相反侧实现相等或基本相等的重量分布。结果,其上安装有多批晶圆的框架241的旋转被平衡。本文下面描述了根据一些实施例的旋转干燥器240的操作的其他细节。所描述的平衡机构244的配置是示例。其他配置在各种实施例的范围内。例如,在一些实施例中,在平衡机构中包括单个配重或多于一个配重。在进一步的实施例中,在配重246、247之外或代替配重246、247,通过朝向或远离旋转轴243移动第一批晶圆221或第二批晶圆222中的至少一个的安装位置来实现旋转平衡。
35.控制器250包括微控制器(mcu)252和可编程逻辑控制器(plc)254。在至少一个实施例中,mcu 252是专用于装载部220的控制器,并且plc 254是专用于控制旋转干燥器240
的控制器。在一些实施例中,plc 254未被配置为直接接受来自传感器的测量信号,并且mcu 252被配置为用作位于一侧的第一传感器231和第二传感器232与位于另一侧的plc 254之间的接口。图2中的控制器250包括两个控制器(即mcu 252和plc 254)的配置是示例。其他配置在各种实施例的范围内。例如,在至少一个实施例中,mcu 252和plc 254被集成在单个控制器中,或者控制器250包括多于两个控制器。
36.mcu 252耦合到第一传感器231与第二传感器232,以接收第一重量信号s1与第二重量信号s2。mcu 252包括模数转换器(adc)256和处理电路258。在至少一个实施例中,adc 256和处理电路258中的每一个包括一个或多个电路、逻辑元件、驱动器、输入/输出(i/o)端口等。在至少一个实施例中,mcu 252被配置在单个芯片中。
37.adc 256被配置为将第一重量信号s1转换为与第一盒223的重量wc1和第一批晶圆221的重量ww1的第一总重量w1相对应的第一重量数据。换句话说,w1=wc1 ww1。adc 256还被配置为将第二重量信号s2转换为与第二盒224的重量wc2和第二批晶圆222的重量ww2的第二总重量w2相对应的第二重量数据。换句话说,w2=wc2 ww2。
38.处理电路258耦合到adc 256以接收第一总重量w1和第二总重量w2。处理电路258被配置为确定δw=|w1-w2|。在一些实施例中,假设第一盒223和第二盒224被类似地配置并且具有大约相同的重量,即wc1=wc2,则δw=|w1-w2|=|ww1-ww2|,并且δw对应于第一批晶圆221的重量ww1与第二批晶圆222的重量ww2之间的重量差。如本文所述,重量差δw可用于控制和平衡旋转干燥器240中的第一批晶圆221和第二批晶圆222的旋转。
39.具体地,处理电路258被配置为将第一批晶圆221和第二批晶圆222之间的重量差δw转换为各自具有预定重量wn的衬底的数量。例如,处理电路258被配置以确定δn=δw/wn,其中δn是从δw转换的衬底的数量。在一些实施例中,预定重量wn是单个晶圆的标称晶圆重量,并且旋转干燥器240被配置为旋转各自具有标称晶圆重量的晶圆。例如,在一些应用中,预定重量wn为53g。在一些情况下,预定重量wn与第一批晶圆221或第二批晶圆222中的晶圆的实际重量不同,和/或第一批晶圆221中的晶圆的实际重量与第二批晶圆222中的晶圆的实际重量不同。在这种情况下,虽然转换后的晶圆数量δn反映了重量差δw,但它不一定反映第一批晶圆221和第二批晶圆222之间的晶圆数量差。在一些实施例中,转换后的晶圆数量δn用作将重量差δw输入到旋转干燥器240的控制器(例如plc 254)中的方式,以用于控制和实现旋转干燥器240在其旋转操作中的旋转平衡。
40.在至少一个实施例中,处理电路258还被配置为将除法δw/wn的结果向上或向下取整到最接近的整数,以获得转换后的晶圆数量δn。处理电路258还被配置为以多个第一位的形式输出转换后的晶圆数量δn。在图2的示例性配置中,五个第一位x1-x5用于表示δn,例如,如下表所示,其中“0”和空白表示逻辑“0”,并且“1”表示逻辑“1”。
[0041][0042][0043]
在一些应用中,上表中δn=25的最大值对应于可包含在盒中的晶圆的最大数量。包括其他位数和/或δn的其他最大值的其他布置在各种实施例的范围内。
[0044]
处理电路258还被配置为输出指示第一批晶圆221的重量比第二批晶圆222的重量更重还是更轻的指示符。在图2中的示例配置中,第二位x6被用作这样的指示符。x6的第一逻辑状态(例如逻辑“1”)表示第一批晶圆221比第二批晶圆222更重。x6的相反的第二逻辑状态(例如逻辑“0”)表示第一批晶圆221比第二批晶圆222更轻。在示例中,x6的逻辑状态由处理电路258将w1与w2进行比较而生成,或者基于减法w1-w2的结果的符号来生成。在至少一个实施例中,x1-x6的逻辑“0”对应于mcu 252的相应输出引脚处的零的地电压,并且x1-x6的逻辑“1”对应于mcu 252的相应输出引脚处的5v电压。其他电压布置在各种实施例的范围内。
[0045]
plc 254耦合至mcu 252,以例如经由多个第一位x1-x5及第二位x6接收转换后的
晶圆数量n以及关于哪一批晶圆更重的指示符。plc 254被配置为基于接收到的转换后的晶圆数量δn和关于哪一批晶圆更重的指示符,控制旋转干燥器240以同时平衡第一批晶圆221和第二批晶圆222的旋转。在至少一个实施例中,plc 254被配置为控制致动器248以使配重246、247朝向第一批晶圆221和第二批晶圆222中的较轻批晶圆移动。例如,当指示符(例如,第二位x6)指示在框架241上的位置“1”处的第一批晶圆221比在位置“2”处的第二批晶圆222更重时,plc 254被配置为控制致动器248以使配重246、247朝向第二批晶圆222移动。当指示符指示在框架241上的位置“1”处的第一批晶圆221比在位置“2”处的第二批晶圆222更轻时,plc 254被配置为控制致动器248以使配重246、247朝向第一批晶圆221移动。
[0046]
配重246、247从细长支撑件245朝向较轻批次移动的距离取决于经由第一位x1-x5接收的转换后的晶圆数量δn。具体地,δn从第一批晶圆221和第二批晶圆222之间的重量差δw转换而来,并且δn对应于第一批晶圆221和第二批晶圆222之间的重量差δw。在较高δn,意味着较高的重量差δw,plc 254被配置为控制致动器248以将配重246、247移动得更远离细长支撑件245,即更靠近较轻批次的晶圆。在较低δn,意味着较低的重量差δw,plc 254被配置为控制致动器248以将配重246、247移动到离细长支撑件245更近。在至少一个实施例中,δn的各种值和配重246、247的各种相应的移动量例如通过实验或模拟来预先确定,并作为查找表存储在plc 254的、或可由plc 254访问的计算机可读存储介质上。结果,在细长支撑件245的相反侧实现了相等或基本相等的重量分布,从而产生旋转干燥器240在旋转操作中的旋转平衡。
[0047]
其他方法试图通过对要一起旋转的不同批次的晶圆中的晶圆数量进行计数,并将所计数的晶圆数量输入到旋转干燥器的控制器中,来实现旋转干燥器的旋转平衡。旋转干燥器的控制器将所计数的晶圆数量差视为批次之间的重量差,并相应地控制旋转干燥器的平衡机构。当要旋转的不同批次中的各个晶圆具有相同的预定重量或标称晶圆重量wn时,其他方法如预期的那样操作。然而,当不同批次中的各个晶圆具有不同的晶圆重量时,存在不平衡旋转的风险。在示例中,要一起旋转的两批晶圆各具有25个晶圆,但是一批中的每个单独的晶圆比另一批中的每个单独的晶圆更重。旋转干燥器的控制器在两批次中接收相同计数数量(即,25)的晶圆,并且认为两批次重量相等,并且不启用平衡机构。结果,即使一批晶圆比另一批晶圆更重,旋转干燥器也在不启用平衡机构的情况下操作,从而导致旋转不平衡,并可能引起警报、设备损坏和/或制造工艺的停止。
[0048]
本文所述的至少一个实施例使得可以避免其他方法的缺点。具体地,输入到plc 254中的转换后的晶圆数量δn对应于第一批晶圆221和第二批晶圆222之间的重量差δw。因此,即使当第一批晶圆221与第二批晶圆222具有相同数量的晶圆但重量不同时,plc 254仍能够根据重量差δw(由转换后的晶圆数量δn表示)启动平衡机构244以实现旋转平衡,而不是如其他方法中那样由于各批次的晶圆数量相同而禁用平衡机构。结果,在一些实施例中,可以实现一个或多个优点,包括但不限于:确保在要一起旋转的各批次中的各个晶圆的各种晶圆重量下的旋转平衡,减少设备撕裂和磨损和/或设备故障、减少警报、停机时间或停工、增加工具可用性、减少工具对正在制造的产品(例如,ic)的影响等。
[0049]
在一些情况下,由于对δw/wn进行向上或向下取整以获得δn,因此存在最大约为wn或单个晶圆的标称晶圆重量的一半的误差。然而,根据一些实施例的平衡机构244被配置为具有高达一个wn的可接受的公差,在该公差下仍可实现旋转平衡。因此,一个或多个实施
例可以在各种情况下实现旋转平衡。至少一个实施例适用于旋转干燥器,用于旋转和实现根据不同技术世代制造的晶圆的旋转平衡。
[0050]
图3a是根据一些实施例的盒支撑件325的示意性侧视图。图3b是根据一些实施例的图3a中的盒支撑件325的示意性局部顶视图。在一些实施例中,盒支撑件325对应于衬底处理系统200中的第一盒支撑件225或第二盒支撑件226。在一些实施例中,盒支撑件325被包括在装载端口中,例如晶圆处理系统100中的装载端口120。
[0051]
如图3b所示,盒支撑件325包括顶表面331,其被配置为在其上支撑其中包含有晶圆的盒;以及多个侧壁332,其围绕顶表面331延伸。如图3a所示,侧壁332从顶表面331向上并倾斜地延伸。在与顶表面331相对的底表面333上,盒支撑件325包括具有向下定向的开口的多个中空毂(hub)334、335。杆336具有容纳在相应的毂334中的上端337,以将杆336耦合到盒支撑件325。类似地,杆338具有可容纳在相应的毂335中的上端339,以将杆338耦合到盒支撑件325。在图3a中,杆338被示出为处于其中上端339尚未耦合或容纳在相应的毂335中的状态。在至少一个实施例中,杆336、338对应于第一杆227或第二杆228,并且具有搁置在如关于图2所述的相应传感器(在图3a中未示出)上的下端。如图3b所示,从顶表面331到底表面333,在盒支撑件325的角部处形成孔344、345。紧固件(未示出)被放置在孔344、345中,用于将中空毂334、335分别固定到盒支撑件325。图3a和图3b中的中空毂334、335和相应的杆336、338的数量和/或布置是示例。其他配置在各种实施例的范围内。例如,在至少一个实施例中,盒支撑件325在底表面333上具有单个中空毂,用于耦合到单个杆,该单个杆将放置在盒支撑件325上的盒的载荷传送到单个传感器。
[0052]
图3c是根据一些实施例的盒支撑件365、366上的传感器布置以及对应的控制器的示意图。在至少一个实施例中,盒支撑件365、366对应于盒支撑件225、226。每个盒支撑件365、366具有布置在其角部处的四个传感器。例如,盒支撑件365的传感器371-374布置在盒支撑件365的角部,如图3c中的数字1、2、3、4所示。盒支撑件366的传感器381-384布置在盒支撑件366的角部,如图3c中的数字5、6、7、8所示。在至少一个实施例中,传感器371-374对应于如关于图2所述的传感器231,和/或被耦合以经由多个中空毂和杆接收来自盒支撑件365的载荷,如关于图3a-3b所述。传感器381-384对应于关于图2所述的传感器232,和/或被耦合以经由多个中空毂和杆容纳来自盒支撑件366的载荷,如关于图3a-3b所述。所描述的传感器布置是示例。其他传感器布置在各种实施例的范围内。
[0053]
图4是根据一些实施例的方法400的流程图。在至少一个实施例中,方法400在晶圆处理系统100或衬底处理系统200中、和/或由控制器150或控制器250执行。
[0054]
在操作405,获取第一批衬底的第一重量与第二批衬底的第二重量之间的重量差。例如,第一批晶圆221的第一重量与第二批晶圆222的第二重量之间的重量差δw被获取为δw=|w1-w2|,如关于图2所描述的。
[0055]
在操作415中,重量差被转换成各自具有预定重量的衬底的数量。例如,通过将重量差δw除以预定重量wn来获得转换后的衬底数量δn,如关于图2所描述的。在至少一个实施例中,转换后的衬底数量δn被输出为多个第一位,例如x1-x5。
[0056]
在操作425,生成指示第一重量比第二重量更重还是更轻的指示符。例如,如关于图2所描述的,指示第一批晶圆221比第二批晶圆222更重还是更轻的指示符被输出为第二位(例如x6)的逻辑状态。在至少一个实施例中,通过将w1与w2进行比较或基于减法w1-w2的
结果的符号来生成x6的逻辑状态。
[0057]
在操作435,基于转换后的衬底数量和指示符,控制处理设备以同时平衡第一批衬底和第二批衬底的旋转。例如,如关于图2所描述的,plc254接收代表转换后的衬底数量δn的第一位x1-x5和代表指示符的第二位x6,并且使用接收到的信息来控制致动器248,以相对于安装在框架241上的第一批晶圆221和第二批晶圆222调整配重246、247的位置,从而在旋转干燥器240的旋转操作中实现旋转平衡。
[0058]
所描述的方法包括示例操作,但是它们不一定需要以所示的顺序执行。根据本公开的实施例的精神和范围,可以适当地添加、替换、改变顺序和/或消除操作。组合不同特征和/或不同实施例的实施例在本公开的范围内,并且在回顾本公开之后,对于本领域的普通技术人员来说是显而易见的。
[0059]
图5是根据一些实施例的控制器500的框图。在至少一个实施例中,控制器500对应于关于图1-2描述的一个或多个控制器、mcu或plc。
[0060]
在一些实施例中,控制器500是包括硬件处理器502和非暂态计算机可读存储介质504的通用计算设备。除其他之外,存储介质504编码有(即存储有)计算机程序代码506,即可执行指令集。硬件处理器502对指令506的执行实现了例如根据一个或多个实施例在本文描述的方法和/或操作的一部分或全部。
[0061]
处理器502经由总线508电耦合到计算机可读存储介质504。处理器502还通过总线508电耦合到i/o接口510。网络接口512也经由总线508电耦合到处理器502。网络接口512连接到网络514,使得处理器502和计算机可读存储介质504能够经由网络514连接到外部元件。处理器502被配置为执行编码在计算机可读存储介质504中的计算机程序代码506,以使控制器500执行所述处理和/或方法的一部分或全部。在一个或多个实施例中,处理器502是中央处理单元(cpu)、多处理器、分布式处理系统、专用集成电路(asic)和/或合适的处理单元。
[0062]
在一个或多个实施例中,计算机可读存储介质504是电、磁、光、电磁、红外和/或半导体系统(或装置或设备)。例如,计算机可读存储介质504包括半导体或固态存储器、磁带、可移动计算机磁盘、随机存取存储器(ram)、只读存储器(rom)、刚性磁盘和/或光盘。在使用光盘的一个或多个实施例中,计算机可读存储介质504包括光盘只读存储器(cd-rom)、光盘读/写(cd-r/w)和/或数字视频盘(dvd)。
[0063]
控制器500包括i/o接口510。i/o接口510耦合到外部电路。在一个或多个实施例中,i/o接口510包括键盘、小键盘、鼠标、跟踪球、跟踪板、触摸屏和/或光标方向键,用于向处理器502传送信息和命令。
[0064]
控制器500还包括耦合到处理器502的网络接口512。网络接口512允许控制器500与网络514通信,一个或多个其他计算机系统连接到网络514。网络接口512包括无线网络接口,例如蓝牙、wifi、wimax、gprs或wcdma;或诸如以太网、usb或ieee-1364之类的有线网络接口。
[0065]
控制器500被配置为通过i/o接口510接收信息。通过i/o接口510接收的信息包括指令、数据、设计规则、标准单元库和/或由处理器502处理的其他参数中的一个或多个。该信息经由总线508被传送到处理器502。控制器500被配置为通过i/o接口510接收与ui相关的信息。该信息被存储在计算机可读介质504中作为用户界面(ui)542。
[0066]
图6是根据一些实施例的集成电路(ic)制造系统600以及与其相关联的ic制造流程的框图。在一些实施例中,基于布局图,使用制造系统600制造(a)一个或多个半导体掩模或(b)半导体集成电路的层中的至少一个组件中的至少一个。
[0067]
在图6中,ic制造系统600包括在设计、开发和制造周期和/或与制造ic器件660相关的服务中彼此交互的实体,例如设计室620、掩模室630和ic制造商/制造者(“fab”)650。系统600中的实体通过通信网络连接。在一些实施例中,通信网络是单个网络。在一些实施例中,通信网络是各种不同的网络,诸如内联网和因特网。通信网络包括有线和/或无线通信信道。每个实体与一个或多个其他实体交互,并向一个或多个其他实体提供服务和/或从其接收服务。在一些实施例中,设计室620、掩模室630和ic制造商/制造者650中的两个或更多个由单个较大公司拥有。在一些实施例中,设计室620、掩模室630和ic制造商/制造者650中的两个或更多个共存于公共设施中并使用公共资源。
[0068]
设计室(或设计团队)620生成ic设计布局图622。ic设计布局图622包括为ic器件660设计的各种几何图案。几何图案对应于构成要制造的ic器件660的各种组件的金属、氧化物或半导体层的图案。各种层组合以形成各种ic特征。例如,ic设计布局图622的一部分包括要形成在半导体衬底(例如硅晶圆)和布置在半导体衬底上的各种材料层中的各种ic特征,例如有源区、栅极电极、源极和漏极、层间互连的金属线或通孔以及用于键合焊盘的开口。设计室620实施适当的设计过程以形成ic设计布局图622。设计过程包括逻辑设计、物理设计或布局和布线中的一个或多个。在具有几何图案的信息的一个或多个数据文件中呈现ic设计布局图622。例如,ic设计布局图622可以以gdsii文件格式或dfii文件格式来表达。
[0069]
掩模室630包括数据准备632和掩模制造644。掩模室630使用ic设计布局图622来制造一个或多个掩模645,用于根据ic设计布局图622制造ic器件660的各个层。掩模室630执行掩模数据准备632,其中ic设计布局图622被转换成代表性数据文件(“rdf”)。掩模数据准备632将rdf提供给掩模制造644。掩模制造644包括掩模写入器。掩模写入器将rdf转换成衬底上的图像,例如掩模(中间掩模)645或半导体晶圆653。设计布局图622由掩模数据准备632操纵,以符合掩模写入器的特定特性和/或ic制造商/制造者650的要求。在图6中,掩模数据准备632和掩模制造644被示为独立的元件。在一些实施例中,掩模数据准备632和掩模制造644可以统称为掩模数据准备。
[0070]
在一些实施例中,掩模数据准备632包括光学邻近校正(opc),其使用光刻增强技术来补偿图像误差,例如由衍射、干涉、其它处理效应等引起的图像误差。opc调整ic设计布局图622。在一些实施例中,掩模数据准备632包括进一步的分辨率增强技术(ret),例如离轴照明、次分辨率辅助特征,相移掩模、其他合适的技术等或其组合。在一些实施例中,还使用将opc视为逆成像问题的逆光刻技术(ilt)。
[0071]
在一些实施例中,掩模数据准备632包括掩模规则检查器(mrc),其利用一组掩模创建规则来检查经历了opc中的处理的ic设计布局图622,掩模创建规则包含某些几何和/或连接性限制以确保足够的裕度,以考虑半导体制造工艺中的可变性等。在一些实施例中,mrc修改ic设计布局图622以补偿掩模制造644期间的限制,这可以撤销由opc执行的修改的一部分,以便满足掩模创建规则。
[0072]
在一些实施例中,掩模数据准备632包括光刻工艺检查(lpc),其模拟将由ic制造
商/制造者650实施以制造ic器件660的处理。lpc基于ic设计布局图622来模拟该处理,以创建模拟制造的器件,例如ic器件660。lpc模拟中的处理参数可以包括与ic制造周期的各种工艺相关联的参数、与用于制造ic的工具相关联的参数和/或制造工艺的其它方面。lpc考虑各种因素,例如空间图像对比度、焦深(“dof”)、掩模误差增强因子(“meef”)、其他合适的因素等或其组合。在一些实施例中,在通过lpc创建了仿真制造的器件之后,如果仿真器件在形状上不够接近以满足设计规则,则重复opc和/或mrc以进一步完善ic设计布局图622。
[0073]
应当理解,为了清楚的目的,简化了上述掩模数据准备632的描述。在一些实施例中,数据准备632包括附加特征(例如逻辑操作(lop))以根据制造规则修改ic设计布局图622。另外,在数据准备632期间应用于ic设计布局图622的处理可以以各种不同的顺序执行。
[0074]
在掩模数据准备632之后和在掩模制造644期间,基于修改的ic设计布局图622制造掩模645或一组掩模645。在一些实施例中,掩模制造644包括基于ic设计布局图622执行一个或多个光刻曝光。在一些实施例中,基于修改的ic设计布局图622,使用电子束(e束)或多个e束的机制来在掩模(光掩模或中间掩模)645上形成图案。掩模645可以以各种技术形成。在一些实施例中,使用二进制技术形成掩模645。在一些实施例中,掩模图案包括不透明区域和透明区域。用于曝光涂覆在晶圆上的图像敏感材料层(例如光致抗蚀剂)的辐射束(例如紫外(uv)束)被不透明区域阻挡并透过透明区域。在一个示例中,掩模645的二元掩模版本包括透明衬底(例如熔融石英)和涂覆在二元掩模的不透明区域中的不透明材料(例如铬)。在另一示例中,使用相移技术形成掩模645。在掩模645的相移掩模(psm)版本中,在相移掩模上形成的图案中的各种特征被配置为具有适当的相位差,以增强分辨率和成像质量。在各种示例中,相移掩模可以是衰减psm或交替psm。在各种示例中,相移掩模可以是衰减psm或交替psm。由掩模制造644生成的(一个或多个)掩模用于各种工艺中。例如,这种(一个或多个)掩模用于离子注入工艺中以在半导体晶圆653中形成各种掺杂区域,用于蚀刻工艺中以在半导体晶圆653中形成各种蚀刻区域,和/或用于其它合适的工艺中。
[0075]
ic制造商/制造者650是包括用于制造各种不同ic产品的一个或多个制造设施的ic制造企业。在一些实施例中,ic制造商/制造者650是半导体代工厂。例如,可能存在用于多个ic产品的前端制造(生产线前端(feol)制造)的制造设施,而第二制造设施可以提供用于ic产品的互连和封装的后端制造(生产线后端(beol)制造),并且第三制造设施可以提供用于代工业务的其他服务。
[0076]
ic制造商/制造者650包括制造工具652,其被配置为在半导体晶圆653上执行各种制造操作,使得根据掩模(例如,掩模645)来制造ic器件660。在各种实施例中,制造工具652包括一个或多个晶圆步进机、离子注入机、光致抗蚀剂涂布机、处理室(例如cvd腔室或lpcvd炉)、cmp系统、等离子体蚀刻系统、晶圆清洁系统或能够执行如本文讨论的一个或多个合适的制造工艺的其他制造设备。
[0077]
ic制造商/制造者650使用由掩模室630制造的(一个或多个)掩模645来制造ic器件660。因此,ic制造商/制造者650至少间接地使用ic设计布局图622来制造ic器件660。在一些实施例中,半导体晶圆653由ic制造商/制造者650使用(一个或多个)掩模645形成ic器件660来制造。在一些实施例中,ic制造包括至少间接基于ic设计布局图622进行一次或多次光刻曝光。半导体晶圆653包括硅衬底或其上形成有材料层的其他适当衬底。半导体晶圆
653还包括一个或多个各种掺杂区域、电介质特征、多层互连等(在随后的制造步骤中形成)。
[0078]
关于集成电路(ic)制造系统(例如,图6的系统600)及与其相关联的ic制造流程的细节参见例如2016年2月9日授权的美国专利no.9,256,709、2015年10月1日公开的美国授权前公开no.20150278429、2014年2月6日公开的美国授权前公开no.20140040838、以及2007年8月21日授权的美国专利no.7,260,442,其全部内容通过引用合并于此。
[0079]
在一些实施例中,一种系统包括至少一个传感器和至少一个控制器。至少一个传感器被配置为生成与第一批衬底的第一重量相对应的第一重量信号,以及与第二批衬底的第二重量相对应的第二重量信号。至少一个控制器耦合到至少一个传感器以接收第一重量信号和第二重量信号。至少一个控制器被配置为将所述第一重量与所述第二重量之间的重量差转换为各自具有预定重量的衬底的数量。至少一个控制器还被配置为基于转换后的衬底的数量来控制处理设备以同时旋转第一批衬底和第二批衬底。
[0080]
在一些实施例中,一种用于晶圆处理系统的装载端口包括第一盒支撑件和第二盒支撑件、至少一个第一传感器、至少一个第二传感器和微控制器(mcu)。第一盒支撑件被配置为在其上支撑包含第一批晶圆的第一盒。至少一个第一传感器耦合到第一盒支撑件,并且被配置为生成与第一盒和第一批晶圆的第一总重量相对应的第一重量信号。第二盒支撑件被配置为在其上支撑包含第二批晶圆的第二盒。至少一个第二传感器耦合到第二盒支撑件,并且被配置为生成与第二盒和第二批晶圆的第二总重量相对应的第二重量信号。mcu耦合到至少一个第一传感器和至少一个第二传感器,以分别接收第一重量信号和第二重量信号。mcu被配置为将第一总重量与第二总重量之间的重量差转换为各自具有预定重量的晶圆的数量,生成指示第一批晶圆是比第二批晶圆更重还是更轻的指示符,以及将指示符和转换后的晶圆数量输出到晶圆处理系统的处理设备的控制器,以使控制器能够控制处理设备同时平衡第一批晶圆和第二批晶圆的旋转。
[0081]
在根据一些实施例的方法中,获取第一批衬底的第一重量与第二批衬底的第二重量之间的重量差。该重量差被转换为各自具有预定重量的衬底的数量。生成指示第一重量是比第二重量更重还是更轻的指示符。基于转换后的衬底的数量和指示符,控制处理设备以同时平衡第一批衬底和第二批衬底的旋转。
[0082]
前述内容概述了几个实施例的特征,使得本领域技术人员可以更好地理解本公开的各方面。本领域技术人员应当理解,他们可以容易地将本公开用作设计或修改其他工艺和结构的基础,以实现与本文介绍的实施例相同的目的和/或实现相同的优点。本领域技术人员还应认识到,这样的等同构造不脱离本公开的精神和范围,并且在不脱离本公开的精神和范围的情况下,他们可以在这里进行各种改变、替换和更改。
[0083]
示例1.一种用于晶圆处理的系统,包括:至少一个传感器,被配置为生成:第一重量信号,对应于第一批衬底的第一重量,以及第二重量信号,对应于第二批衬底的第二重量,至少一个控制器,耦合到所述至少一个传感器以接收所述第一重量信号和所述第二重量信号,所述至少一个控制器被配置为:将所述第一重量与所述第二重量之间的重量差转换为各自具有预定重量的衬底的数量,以及基于转换后的衬底的数量,控制处理设备以同时旋转所述第一批衬底和所述第二批衬底。
[0084]
示例2.根据示例1所述的系统,其中:所述至少一个控制器还被配置为:生成指示
所述第一重量是比所述第二重量更重还是更轻的指示符,以及基于所述指示符和所述转换后的衬底的数量,控制所述处理设备以同时旋转所述第一批衬底和所述第二批衬底。
[0085]
示例3.根据示例2所述的系统,还包括:所述处理设备,其中:所述处理设备包括平衡机构,并且所述至少一个控制器被配置为:基于所述指示符和所述转换后的衬底的数量,控制所述平衡机构以同时平衡所述第一批衬底和所述第二批衬底的旋转。
[0086]
示例4.根据示例3所述的系统,其中:所述平衡机构包括至少一个配重,并且所述至少一个控制器被配置为:基于所述指示符和所述转换后的衬底的数量,控制所述平衡机构以相对于所述第一批衬底或所述第二批衬底中的至少一者来移动所述至少一个配重,以同时平衡所述第一批衬底和所述第二批衬底的旋转。
[0087]
示例5.根据示例1所述的系统,还包括:第一盒支撑件,被配置为在其上支撑包含所述第一批衬底的第一盒,其中,所述至少一个传感器包括至少一个第一传感器,所述至少一个第一传感器耦合到所述第一盒支撑件并且被配置成生成与所述第一盒和所述第一批衬底的第一总重量相对应的所述第一重量信号。
[0088]
示例6.根据示例5所述的系统,还包括:第二盒支撑件,被配置为在其上支撑包含所述第二批衬底的第二盒,其中,所述至少一个传感器还包括至少一个第二传感器,所述至少一个第二传感器耦合到所述第二盒支撑件并且被配置成生成与所述第二盒和所述第二批衬底的第二总重量相对应的所述第二重量信号。
[0089]
示例7.根据示例6所述的系统,其中:所述至少一个第一传感器包括多个第一传感器,所述至少一个第二传感器包括多个第二传感器,所述系统还包括:多个第一杆,每个第一杆从所述第一盒支撑件的底部向下延伸到所述多个第一传感器中的相应的第一传感器,所述多个第一杆被配置为将与所述第一总重量相对应的第一载荷传送到所述多个第一传感器;以及多个第二杆,每个第二杆从所述第二盒支撑件的底部向下延伸到所述多个第二传感器中的相应的第二传感器,所述多个第二杆被配置为将与所述第二总重量相对应的第二载荷传送到所述多个第二传感器。
[0090]
示例8.根据示例6所述的系统,其中,所述系统是晶圆处理系统,包括:多个处理设备,包括作为旋转干燥器的处理设备;壳体,容纳所述多个处理设备;以及装载端口,被配置为将所述第一批衬底和所述第二批衬底装载到所述壳体中,以由所述多个处理设备中的一个或多个处理设备进行处理,其中,所述装载端口包括所述第一盒支撑件、所述第二盒支撑件、所述至少一个第一传感器和所述至少一个第二传感器。
[0091]
示例9.根据示例6所述的系统,其中,所述至少一个控制器包括:模数转换器adc,被配置为:将所述第一重量信号转换为与所述第一重量相对应的第一重量数据,并且将所述第二重量信号转换为与所述第二重量相对应的第二重量数据;以及处理电路,耦合到所述adc以接收所述第一重量数据和所述第二重量数据,所述处理电路被配置为:生成与所述转换后的衬底的数量相对应的多个第一位,以及生成第二位,其中,所述第二位的第一逻辑状态指示所述第一重量比所述第二重量更重,并且所述第二位的相反的第二逻辑状态指示所述第一重量比所述第二重量更轻。
[0092]
示例10.根据示例9所述的系统,其中,所述至少一个控制器包括:微控制器mcu,包括所述adc和所述处理电路;以及可编程逻辑控制器plc,耦合到所述mcu以接收所述多个第一位和所述第二位,所述plc被配置为:基于所述多个第一位和所述第二位,控制所述处理
设备以同时平衡所述第一批衬底和所述第二批衬底的旋转。
[0093]
示例11.一种用于晶圆处理系统的装载端口,所述装载端口包括:第一盒支撑件,被配置为在其上支撑包含第一批晶圆的第一盒;至少一个第一传感器,耦合到所述第一盒支撑件并被配置为生成与所述第一盒和所述第一批晶圆的第一总重量相对应的第一重量信号;第二盒支撑件,被配置为在其上支撑包含第二批晶圆的第二盒;至少一个第二传感器,耦合到所述第二盒支撑件并被配置为生成与所述第二盒和所述第二批晶圆的第二总重量相对应的第二重量信号;以及微控制器mcu,耦合到所述至少一个第一传感器和所述至少一个第二传感器以分别接收所述第一重量信号和所述第二重量信号,所述mcu被配置为:将所述第一总重量与所述第二总重量之间的重量差转换为各自具有预定重量的晶圆的数量,生成指示所述第一批晶圆是比所述第二批晶圆更重还是更轻的指示符,以及将所述指示符和所述转换后的晶圆数量输出到所述晶圆处理系统的处理设备的控制器,以使所述控制器能够控制所述处理设备以同时平衡所述第一批晶圆和所述第二批晶圆的旋转。
[0094]
示例12.根据示例11所述的装载端口,还包括:至少一个第一杆,从所述第一盒支撑件的底部向下延伸到所述至少一个第一传感器,所述至少一个第一杆被配置为将与所述第一总重量相对应的第一载荷传送到所述至少一个第一传感器;以及至少一个第二杆,从所述第二盒支撑件的底部向下延伸到所述至少一个第二传感器,所述至少一个第二杆被配置为将与所述第二总重量相对应的第二载荷传送到所述至少一个第二传感器。
[0095]
示例13.根据示例12所述的装载端口,其中:所述第一盒支撑件在其底部上包括至少一个第一中空毂,所述至少一个第一杆的上端被容纳在该至少一个第一中空毂中以将所述至少一个第一杆耦合到所述第一盒支撑件,以及所述第二盒支撑件在其底部上包括至少一个第二中空毂,所述至少一个第二杆的上端被容纳在该至少一个第二中空毂中以将所述至少一个第二杆耦合到所述第二盒支撑件。
[0096]
示例14.根据示例12所述的装载端口,还包括:平台,所述第一盒支撑件和所述第二盒支撑件被布置在所述平台上方,其中,所述至少一个第一杆和所述至少一个第二杆穿过所述平台分别从所述第一盒支撑件和所述第二盒支撑件的底部向下延伸到所述至少一个第一传感器和所述至少一个第二传感器。
[0097]
示例15.根据示例11所述的装载端口,其中,所述mcu包括:模数转换器adc,被配置为:将所述第一重量信号转换为与所述第一总重量相对应的第一重量数据,并且将所述第二重量信号转换为与所述第二总重量相对应的第二重量数据;以及处理电路,耦合到所述adc以接收所述第一重量数据和所述第二重量数据,所述处理电路被配置为:生成与所述转换后的晶圆数量相对应的多个第一位,以及生成第二位,其中,所述第二位的第一逻辑状态指示所述第一批晶圆比所述第二批晶圆更重,并且所述第二位的相反的第二逻辑状态指示所述第一批晶圆比所述第二批晶圆更轻。
[0098]
示例16.一种用于晶圆处理的方法,包括:获取第一批衬底的第一重量与第二批衬底的第二重量之间的重量差;将所述重量差转换为各自具有预定重量的衬底的数量;生成指示所述第一重量是比所述第二重量更重还是更轻的指示符;以及基于所述转换后的衬底的数量和所述指示符,控制处理设备以同时平衡所述第一批衬底和所述第二批衬底的旋转。
[0099]
示例17.根据示例16所述的方法,其中:所述控制包括:响应于所述指示符指示所
述第一重量比所述第二重量更轻,朝向安装在所述处理设备中的所述第一批衬底移动所述处理设备的平衡机构的至少一个配重,以及响应于所述指示符指示所述第一重量比所述第二重量更重,朝向安装在所述处理设备中的所述第二批衬底移动所述处理设备的平衡机构的所述至少一个配重,作为所述移动的结果,所述至少一个配重被移动了与所述转换后的衬底的数量相对应的距离。
[0100]
示例18.根据示例16所述的方法,其中:所述第一批衬底中的第一衬底的实际重量与以下项中的至少一者不同:所述预定重量,或所述第二批衬底中的第二衬底的实际重量。
[0101]
示例19.根据示例16所述的方法,其中,所述获取所述重量差包括:通过至少一个第一传感器检测包含所述第一批衬底的第一盒和所述第一批衬底的所述第一重量的第一总重量;通过至少一个第二传感器检测包含所述第二批衬底的第二盒和所述第二批衬底的所述第二重量的第二总重量;以及从所述第二总重量减去所述第一总重量,并采用此减法的结果作为所述第一批衬底的所述第一重量与所述第二批衬底的所述第二重量之间的重量差。
[0102]
示例20.根据示例16所述的方法,其中:所述转换包括:将所述重量差除以所述预定重量,而不管所述预定重量是所述第一批衬底还是所述第二批衬底中的任何衬底的实际重量,并且对所述除法的结果进行舍入以获取所述转换后的衬底的数量,所述方法还包括:将下列项输入到所述处理设备的控制器中,与所述转换后的衬底的数量相对应的多个第一位,以及第二位,其中,所述第二位的第一逻辑状态指示所述第一重量比所述第二重量更重,并且所述第二位的相反的第二逻辑状态指示所述第一重量比所述第二重量更轻。
再多了解一些

本文用于企业家、创业者技术爱好者查询,结果仅供参考。

发表评论 共有条评论
用户名: 密码:
验证码: 匿名发表

相关文献