一种残膜回收机防缠绕挑膜装置的制 一种秧草收获机用电力驱动行走机构

可熔结构及其制造方法与流程

2022-02-22 17:56:52 来源:中国专利 TAG:


1.本技术的实施例涉及可熔结构及其制造方法。


背景技术:

2.半导体集成电路(ic)工业已经生产了各种各样的器件,来解决许多 不同领域中的问题。这些器件中的一些,诸如可熔结构,配置成用于多种 用途,包括存储数据。随着可熔结构变得越来越小、越来越复杂,这些器 件的性能已经成为业界关注的问题。


技术实现要素:

3.在一些实施例中,一种可熔结构,包括:金属线,沿着第一方向延伸; 以及第一伪结构,设置成相对于第二方向接近于金属线,第二方向垂直于 第一方向;并且其中:相对于第一方向,金属线包括第一部分、第二部分、 和第三部分,第二部分位于第一部分和第三部分之间;相对于垂直于第一 方向和第二方向的第三方向,第一部分具有第一厚度,第二部分具有第二 厚度,第一厚度大于第二厚度;并且第一伪结构接近于金属线的第二部分。
4.在一些实施例中,一种可熔结构,包括:金属线,沿着第一方向延伸; 第一伪结构,设置成相对于第二方向与金属线相距第一距离,第二方向垂 直于第一方向;并且其中:相对于第一方向,金属线包括第一部分、第二 部分、和第三部分,第二部分位于第一部分和第三部分之间;相对于垂直 于第一方向和第二方向的第三方向,第一部分比第二部分更薄。
5.在一些实施例中,一种制造可熔结构的方法,该方法包括:形成沿着 第一方向延伸的金属线,形成金属线包括:配置掩模,使得金属线具有第 一部分,该第一部分位于第二部分和第三部分之间;使用光学接近度校正 技术和掩模,使得第一部分比第二部分和第三部分中的每一个都更薄;以 及形成接近于金属线并相对于第一方向与第一部分对准的第一伪结构。
附图说明
6.当结合附图进行阅读时,从以下详细描述可最佳理解本发明的各个方 面。应该指出,根据工业中的标准实践,各个部件未按比例绘制。实际上, 为了清楚的讨论,各个部件的尺寸可以任意地增大或减小。
7.图1a是根据一些实施例的可熔结构的组件图;
8.图1b是示出根据一些实施例的沿着第一方向沿着金属线的中线截取 的金属线102的截面图的组件图;
9.图2是根据一些实施例的可熔结构的组件图;
10.图3a是根据一些实施例的可熔结构的组件图;
11.图3b是示出根据一些实施例的沿着第一方向沿着金属线的中线截取 的金属线的截面图的组件图;
12.图4a是根据一些实施例的可熔结构的组件图;
13.图4b是示出根据一些实施例的沿着第一方向沿着金属线的中线截取 的金属线的截面图的组件图;
14.图5是根据一些实施例的可熔结构的组件图;
15.图6是根据一些实施例的生成布局图的方法的流程图;
16.图7是根据一些实施例的形成金属线的方法的流程图;
17.图8a和图8b对应地是根据一些实施例的组件图和截面图;
18.图9a和图9b对应地是根据一些实施例的组件图和截面图;
19.图10是根据一些实施例的电子设计自动化(eda)系统的框图;
20.图11是根据一些实施例的集成电路(ic)制造系统以及与其相关的ic 制造流程的框图。
具体实施方式
21.以下公开内容提供了许多用于实现本发明的不同特征的不同实施例或 实例。下面描述了组件和布置的具体实例以简化本发明。当然,这些仅仅 是实例,而不旨在限制本发明。例如,以下描述中,在第二部件上方或者 上形成第一部件可以包括第一部件和第二部件直接接触形成的实施例,并 且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得 第一部件和第二部件可以不直接接触的实施例。另外,本发明可以在各个 实例中重复参考数字和/或字母。该重复是出于简化和清楚的目的,其本身 并不指示所讨论的各种实施例和/或结构之间的关系。
22.而且,为便于描述,在此可以使用诸如“在

之下”、“在

下方”、
ꢀ“
下部”、“在

之上”、“上部”等空间相对术语,以容易地描述如图 所示的一个元件或部件与另一个(或另一些)元件或部件的关系。除了图 中所示的方位外,空间相对术语旨在包括器件在使用或操作中的不同方位。 装置可以以其他方式定向(旋转90度或在其他方位上),而本文使用的空 间相对描述符可以同样地作出相应的解释。
23.实施例包括可熔结构及其对应的制造方法。在一些实施例中,可熔结 构包括金属线,该金属线所具有的不同部分具有不同的厚度。相对于先前 已知的技术,金属线的较薄部分设计成在较低的电压下破坏性地改变,而 金属线的较厚的部分设计成在较高的电压下破坏性地改变。另外,一个或 者多个伪结构设置成接近于金属线的较薄部分。在一些实施例中,当金属 线破坏性地改变时,伪结构放置成足够接近以防止金属溅射。
24.图1a是根据一些实施例的可熔结构100的俯视图。
25.在一些实施例中,可熔结构100用作电熔丝(efuse)。可熔结构100 包括金属线102,在一些实施例中,金属线102是导电材料(金属、多晶 硅等)的窄条(也称为“线”)。为了对可熔结构100进行编程,将编程 电流施加至金属线102,该电流破坏性地改变(即熔断)金属线102,从而 增加可熔结构100的电阻。在一些实施例中,对可熔结构100进行编程称 为燃烧可熔结构100。在一些实施例中,可熔结构100用作一次性可编程 存储器(otp)。在一些实施例中,为了确定由可熔结构100所存储的位 状态,可以使感测电流通过金属线102传送。电连接至金属线102的感测 放大器(未示出)将金属线102上的电流与参考电流进行比较。感测电流 在大小上低于编程电流,因此不会破坏性地改变金属线102。编程电流在 大小上高于感测电流。于是,与编程电流相对应的编程电压在大小上高于 与感测电流相对应
的感测电压。
26.编程电压配置成生成足够的编程电流,从而破坏性地改变金属线102, 使得导致金属线102的破坏性改变。金属线102的破坏性改变会断开金属 线102,这会在金属线102中产生电的不连续性(或者开路)。当金属线 102破坏性地改变和断开时,金属线102配置成高电阻状态。在金属线102 的破坏性改变之前,如图1a所示,金属线102处于低电阻状态。如下面进 一步详细阐释的,图1a中所示的可熔结构100的构造有助于使用较低的编 程电压,以及因此较低的编程电流,来破坏性地改变金属线102,使得(再 次)导致金属线102处于高电阻状态。换句话说,编程电压扩展为较低的 电压,从而降低了金属线102不断开的可能性。增大编程电压范围的范围 以包括较低的电压是有益的,例如,因为现代半导体器件所使用的电压正 在减小。
27.在一些实施例中,熔丝结构100的高电阻状态或者低电阻状态用于表 示位值,并且因此数据以非易失性方式进行存储。存储器电路(未示出) 中的感测放大器(未示出)配置成生成其大小不足以改变金属线102的读 取电流,并且对应的可熔结构100的对应的电阻状态(高电阻状态或者低 电阻状态)由感测放大器(未示出)来确定。在写入操作(也称为“编程 操作”)中,源极线驱动器(未示出)配置成提供写入电压(也称为“编 程电压”)至金属线102,以破坏性地改变金属线102。除了作为非易失性 存储器以外的其他类型的功能也在各种实施例的范围内。
28.可熔结构100设置在半导体结构104中。半导体结构104包括半导体 衬底106和形成在半导体衬底106之上或者下方的一个或者多个金属层 108。在该实施例中,可熔结构100的所有组件都形成在一个金属层108中。 在其他实施例中,可熔结构100的一个或者多个组件形成在一个金属层中, 而可熔结构100的另外的一个或者多个组件形成在另外的金属层中。
29.金属线102具有沿着平行于图1a中的x轴的第一方向延伸的长轴。 金属线102具有沿着垂直于x轴的第二方向延伸的短轴。在该实施例中, 短轴与y轴平行。金属线102包括第一部分110、第二部分112、和第三部 分114。相对于x轴,第一部分110位于第二部分112和第三部分114之 间。相对于垂直于x轴和y轴中的每一个(例如平行于z轴(图1a中未 示出))的第三方向,第二部分112和第三部分114具有大约第一厚度, 而第一部分110具有大约第二厚度。第一部分110相对于x轴(即平行于 x轴)位于第二部分112和第三部分114之间。第二厚度小于第一厚度。 相对于x轴,第一部分110具有由分界线fp1、fp2分界的长度(l_110); 在一些实施例中,第一部分110的长度约为l_110≈0.12μm。相对于x轴, 第二部分112具有由分界线sp1、fp1分界的长度(l_112);在一些实施 例中,第二部分112的长度约为l_112≈0.666μm。相对于x轴,第三部分 114具有由分界线fp2、sp2分界的长度(l_114);在一些实施例中,第三 部分114的长度是l_114≈0.666μm。在一些实施例中,l_112≈l_114。在 一些实施例中,l_110≈0.18*l_112。在一些实施例中,l_112≈l_114。在 一些实施例中,l_110≈0.18*l_114。在一些实施例中,分界线tv1和fp1 之间的长度为l_tv1_fp1≈0.14μm。在一些实施例中,分界线tv2和fp2之 间的长度为l_tv2_fp2≈0.14μm。在一些实施例中,l_tv1_fp1≈l_tv2_fp2。 在一些实施例中,l_110≈0.86*l_tv1_fp1。在一些实施例中,l_110≈ 0.86*l_tv2_fp2。由于第一部分110比第二部分112和第三部分114更薄, 因此相比于第二部分112和第三部分114中的每一个,第一部分110在更 低的电流幅值下以及因此在更低的
电压幅值下断开。由于第一部分110更 薄、并且在更低的电流幅值下以及因此在更低的电压幅值下断开,因此有 利地增加了用于编程电压的电压范围的下限。
30.可熔结构100包括伪结构116和伪结构118。伪结构116和伪结构118 相对于x轴位于金属线102的相对侧上。伪结构116和伪结构118相对于 x轴彼此对准。伪结构116相对于y轴与金属线102分隔开距离d1。伪结 构118相对于y轴与金属线102分隔开距离d2。在该实施例中,距离d1 和距离d2相等。在其他实施例中,距离d1和距离d2不同。
31.伪结构116和伪结构118设计成防止金属线102的第一部分110的破 坏影响附近的非伪结构或者器件。在一些情况下,编程/燃烧/销毁第一部分 110会导致溅射,这会损坏附近的非伪结构和/或器件。伪结构116和118 放置成能够吸收从第一部分110溅射的材料,这减少了(如果未防止会) 对附近结构和/或器件造成损坏。
32.减小距离d1、d2有助于使第一部分110的第一厚度比金属线102的 其余部分(即第二部分112、第三部分114)更薄。在一些实施例中,距离 d1、d2相等。在一些实施例中,d1=d2=22纳米。在一些实施例中,d1 =d2=32纳米。在一些实施例中,d1=d2=42纳米。在一些实施例中, d1=d2=60纳米。在一些实施例中,d1=d2=90纳米。在一些实施例中, d1=d2=120纳米。
33.伪结构116和伪结构118可以具有任何形状。在1a中,伪结构116 和伪结构118是矩形,并且具有相同的比例。伪结构116的长轴和伪结构 118的长轴各自沿着x轴设置,以限定长度a。伪结构116的短轴和伪结构 118的短轴各自沿着y轴设置,以限定宽度b。在一些实施例中,长度a 除以宽度b的比值在大约0.01和100之间。在一些实施例中,长度a除以 距离d1的比值在大约0.01和100之间。长度a除以距离d2的比值在大约 0.01和100之间。
34.如图1a所示,可熔结构100还包括导电焊盘120、122、124、126。 在一些实施例中,导电焊盘120、122、124、126通过在其他导电层和通孔 层(未示出)中的导体和通孔连接至金属线102。以这种方式,编程电压 和感测电压施加至金属线102。导电焊盘120的最左边缘和导电焊盘122 的最左边缘与金属线102的第一端对准。金属线的第一端102和导电焊盘 120、122的最左边缘由分界线sp1来分界。导电焊盘120、122各自具有沿 着x轴延伸直至分界线tv1的长轴。导电焊盘124的最右边缘和导电焊盘 126的最右边缘与金属线102的第二端对准。金属线102的第二端与导电 焊盘124、126的最右边缘由分界线sp2来分界。导电焊盘124、126各自 具有沿着x轴延伸直至分界线tv2的长轴。
35.相对于x轴,金属线102的长度l限定在导电焊盘120、122的最右 边缘(由分界线tv1分界)和导电焊盘124、126的最左边缘(由分界线tv2 分界)之间。因此,在图1a中,长度l是金属线102从分界线tv1至分界 线tv2的长度。在一些实施例中,l≈0.4μm。
36.金属线102限定了沿着y轴的短轴,其提供了金属线102的宽度w。 在一些实施例中,距离d1除以长度l的比值在大约0.01和100之间。在 一些实施例中,长度a除以距离d2的比值在大约0.01和100之间。在一 些实施例中,长度l a除以宽度w的比值在大约4和100之间。在一些实 施例中,上述比值提供了足够的间隔,使得金属线102在第一部分110中 较薄。
37.在图1a中,伪结构116和伪结构118相对于x轴与第一部分110对 准。然而,相对于x轴,第一部分110略微穿过伪结构116和118延伸, 向伪结构116和118的左侧和右侧都延伸。因此,在图1a中,存在距离关 系,其中距离fp1-fp2大于长度a。在一些实施例中,使用光学
接近度校正 (opc)来促进该距离关系。
38.图1b是示出根据一些实施例的沿着x轴沿着金属线102的中线ib截 取的金属线102的截面图的组件图。
39.相对于z轴的金属线102的厚度示出于图1b中。y轴没有示出于图 1b中,因为y轴进入和离开页面。如图1b所示,金属线102具有第一部 分110,该第一部分110相对于x轴位于第二部分112和第三部分114之 间。在该实施例中,第二部分112和第三部分114具有厚度t2,而第一部 分110具有厚度t1,其中t2>t1。因此,第二部分112和第三部分114厚 于第一部分110。相应地,由于第一部分110比第二部分112和第三部分 114更薄,因此,相比于第二部分112和第三部分114,第一部分110在更 低的编程电压下破坏性地改变。更具体地,相比于第二部分112和第三部 分114,第一部分110具有较少的材料、并且因此更容易受到电阻加热的 破坏。在一个实施例中,第一部分110通过所施加的1.53伏的编程电压而 破坏性地改变。
40.伪结构116(参见图1a)和伪结构118(参见图1a)放置在第一部分 110附近。由于第一部分110设计成将会破坏性地改变,并且伪结构116、 118可以防止金属溅射,因此第一部分110比第二部分112和第三部分114 更薄。如图1a所示,伪结构116和伪结构118中的每一个都处于第一部分 110的中心。但是,伪结构116和伪结构118中的每一个的长度a短于第一 部分110的长度。
41.图2是根据一些实施例的可熔结构200的俯视图。
42.可熔结构200类似于图1a中的可熔结构100。因此,对可熔结构200 的讨论集中在可熔结构200和可熔结构100之间的差异上。相似的组件包 括类似的标记。
43.伪结构216和伪结构218的尺寸类似于图1a中的伪结构116和伪结构 118的尺寸。另外,伪结构216和伪结构218相对于x轴和y轴定位成与 伪结构116和伪结构118类似的方式。然而,在该实施例中,伪结构216 和伪结构218与金属线112和接触焊盘120、122、124、126位于不同的金 属层中。在该实施例中,伪结构216和伪结构218位于半导体结构104的 金属层108的第二金属层中,而金属线110和接触焊盘120、122、124、 126位于金属层108的第一金属层中,第一金属层位于第二金属层之下。
44.在一些实施例中,存储器电路还包括编程器件。在一些实施例中,多 个熔丝元件连接至各个编程器件。因此,多个熔丝元件共享相同的编程器 件,与其他方法相比,这大大减小了存储器电路所占据的面积。在一些实 施例中,编程器件包括至少一个晶体管,该至少一个晶体管的尺寸设置成 在写入操作期间提供编程电压(以及因此提供编程电流)至可熔结构100。 在一些实施例中,编程器件是源极线驱动器,或者是源极线驱动器的一部 分。
45.图3a是根据一些实施例的可熔结构300的俯视图。
46.可熔结构300类似于图1a中的可熔结构100。因此,对可熔结构300 的讨论集中在可熔结构300和可熔结构100之间的差异上。相似的组件包 括类似的标记。
47.在该实施例中,可熔结构300包括金属线302和伪结构316、318、320 和322。金属线302包括第一部分310、第二部分311、第三部分312、第 四部分314、和第五部分315。相对于x轴,第一部分310位于第三部分 312和第五部分315之间。第一部分310由分界线tv1、m1来分界。相对 于x轴,第二部分311位于第五部分315和第四部分314之间。第二部分 311由分界线m2、tv2来分界。相对于x轴,第三部分312由分界线sp1、 tv1来分界。分界线sp1相对于x轴
分界金属线302的左端。相对于x轴, 第四部分314由分界线tv2、sp2来分界。分界线sp2相对于x轴分界金属 线302的右端。相对于x轴,第五部分315位于第一部分310和第二部分 311之间。第五部分315通过分界线m1、m2来分界。
48.如下面进一步详细阐释的(参见图3b),第一部分310和第二部分311 比第三部分312、第四部分314、和第五部分315更薄。更具体地,相比于 第三部分312、第四部分314、和第五部分315,第一部分310具有较少的 材料、并且因此更容易受到电阻加热的破坏。因此,相比于第三部分312、 第四部分314、和第五部分315,第一部分310和第二部分311在更低的编 程电压下破坏性地改变。通过破坏性地改变第一部分310和/或第二部分 311,可熔结构300从低电阻状态变为高电阻状态。
49.可熔结构300包括伪结构316、318、320、和322。伪结构316和伪结 构320相对于x轴位于金属线302的一侧上,而伪结构318和伪结构322 相对于x轴位于金属线302的相对侧上。伪结构316和伪结构318相对于 x轴与第一部分310对准。伪结构316和伪结构318放置成足够靠近第一 部分310,以允许第一部分310比第三部分312和第五部分315更薄。如 图3a所示,存在长度关系,其中伪结构316和伪结构318各自所具有的长 度短于第一部分310的相对于x轴的长度。在一些实施例中,通过光学接 近度校正(opc)来促进该长度关系。
50.伪结构318和伪结构322相对于x轴与第二部分311对准。伪结构318 和伪结构322放置成足够靠近第二部分311,以允许第二部分311比第五 部分315和第四部分314更薄。更具体地,相比于第三部分312、第四部 分314、和第五部分315,第二部分311具有较少的材料、并且因此更容易 受到电阻加热的破坏。如图3a所示,存在长度关系,其中伪结构316和伪 结构318各自所具有的长度短于第一部分310的相对于x轴的长度。在一 些实施例中,通过opc来促进该长度关系。
51.伪结构316相对于x轴与伪结构320分隔开,并且伪结构318相对于 x轴与伪结构322分隔开。结果,第五部分315位于第一部分310和第二 部分311之间,其中第五部分315厚于第一部分310和第二部分311。
52.图3b是示出沿着x轴沿着金属线302的中线iiib截取的金属线302 的截面图的组件图。
53.相对于z轴的金属线302的厚度示出于图3b中。y轴没有示出于图 3b中,因为y轴进入和离开页面。如图3b所示,金属线302具有相对于 x轴位于第三部分312和第五部分315之间的第一部分310。金属线302 还具有相对于x轴位于第五部分315和第四部分314之间的第二部分311。 在该实施例中,第三部分312、第四部分314、和第五部分315具有厚度t2, 而第一部分310和第二部分311具有厚度t1,其中t2>t1。因此,第三部 分312、第四部分314、和第五部分315厚于第一部分310和第二部分311。 因此,相比于第三部分312、第四部分314、和第五部分315,第一部分310 和第二部分311在更低的编程电压下破坏性地改变。在一个实施例中,第 一部分310和第二部分311通过所施加的1.53伏的编程电压而破坏性地改 变。
54.图4a是根据一些实施例的可熔结构400的俯视图。
55.可熔结构400类似于图1a中的可熔结构100。因此,对可熔结构400 的讨论集中在可熔结构400和可熔结构100之间的差异上。相似的组件包 括类似的标记。
56.金属线402包括第一部分410、第二部分412、和第三部分414。相对 于z轴,第二部
分412和第三部分414具有大约第一厚度,而第一部分410 具有大约第二厚度。第一部分410相对于x轴(即平行于x轴)位于第二 部分412和第三部分414之间。第二厚度小于第一厚度。第一部分410由 分界线fp1

、fp2

来分界。第二部分412由分界线sp1、fp1

来分界。 第三部分414由分界线fp2

、sp2来分界。由于第一部分410比第二部分 412和第三部分414更薄,因此第一部分410在较低的电流幅值下以及因 此在较低的电压幅值下断开。
57.与图1a中所示的第一部分110相比,图4a中的第一部分410相对于 x轴的长度更大,因为可熔结构400包括伪结构416、418和420。伪结构 416和伪结构420位于金属线402的一侧上,而伪结构418相对于x轴位 于金属线402的相对侧上。伪结构418相对于x轴与第一部分410对准, 并且相对于x轴部分地位于伪结构416和伪结构420之间,并且与伪结构416和伪结构420部分地重叠。伪结构416和伪结构420相对于x轴与第 一部分410部分地对准。然而,伪结构416延伸至左侧越过分界线fp1', 并且伪结构420延伸至右侧越过分界线fp2'。因此,伪结构416和伪结构 420允许第一部分410长于图1a中的第一部分110,但是不延伸及至伪结 构416的最左边缘和伪结构420的最右边缘的整个长度,因为可熔结构400 相对于伪结构416、418、和420是不对称的。
58.图4b是示出根据一些实施例的沿着x轴沿着金属线402的中线ivb 截取的金属线402的截面图的组件图。
59.相对于z轴的金属线402的厚度示出于图4b中。y轴没有示出于图 4b中,因为y轴进入和离开页面。如图4b所示,金属线402具有第一部 分410,该第一部分410相对于x轴位于第二部分412和第三部分414之 间。在该实施例中,第二部分412和第三部分414具有厚度t2,而第一部 分410具有厚度t1,其中t2>t1。因此,第二部分412和第三部分414厚 于第一部分410。因此,相比于第二部分412和第三部分414,第一部分 410在更低的编程电压下破坏性地改变。在一个实施例中,第一部分410 通过所施加的1.53伏的编程电压而破坏性地改变。
60.图5是根据一些实施例的可熔结构500的俯视图。
61.可熔结构500类似于图1a中的可熔结构100。因此,对可熔结构500 的讨论集中在可熔结构500和可熔结构100之间的差异上。相似的组件包 括类似的标记。
62.可熔结构500类似于可熔结构100,不同之处在于,可熔结构500不 包括伪结构116。值得注意的是,可熔结构的不同实施例,例如可熔结构 100、200、300、400、500,包括不同数量的伪结构,以提供不同数量的不 同厚度的部分,无论是不对称的还是对称的。
63.图6是根据一些实施例的生成布局图的方法600的流程图。
64.根据一些实施例,方法600可以例如使用eda系统2(以下讨论的图 10)和集成电路(ic)制造系统1100(以下讨论的图11)来实现。关于方 法600,布局图的示例包括具有在对应的图1a、图2、图3a、图4a、图5、 图8a、和图9a中表示可熔结构100、200、300、400、500、800和900 的形状的布局图。
65.在图6中,方法600包括框602-604。在框602处,生成布局图,该布 局图除其他外包括在对应的图1a、图2、图3a、图4a、图5、图8a、和 图9a中表示可熔结构100、200、300、400、500、800和900的形状。流 程从框602前进至框604。
66.在框604处,基于布局图,进行以下至少之一:(a)进行一次或者多 次光刻曝光、或(b)制造一个或者多个半导体掩模、或(c)制造半导体 器件的层中的一个或者多个组件。参
见在下面的图11中的ic制造系统1100 的以下讨论。
67.图7是根据一些实施例的形成沿着x轴延伸的金属线的方法的流程图 700。
68.由流程图700形成的金属线的示例包括对应于图1a、图3a、和图4a 的金属线102、金属线302、和金属线402。在一些实施例中,该方法包括 在框602期间实施的、用以制造诸如在对应的图1a、图2、图3a、图4a、 图5、图8a、和图9a中的可熔结构100、200、300、400、500、800和900 的可熔结构的程序。该方法包括框702-706。
69.在框702处,掩模配置成使得金属线具有第一部分,该第一部分位于 第二部分和第三部分之间。金属线的示例是对应的图1a、图3a、和图4a 中的金属线102、302、402。掩模的示例在下面的图8b和图9b中进行讨 论。流程从框702前进至框704。
70.在框704处,光学接近度校正(opc)技术与掩模一起使用,以使得 第一部分比第二部分和第三部分中的每一个都更薄。第一部分的示例包括 图1b、图3b、和图4b中的第一部分110、第一部分310、第二部分311、 和第一部分410。流程从框704前进至框706。
71.在框706处,第一伪结构形成为接近于金属线并且相对于x轴与第一 部分对准。伪结构的示例包括图1a和图5中的伪结构116、118,图2中 的伪结构216、218,图3a中的伪结构316、318、320、322,和图4a中 的伪结构416、418、420。
72.图8a和图8b对应地是根据一些实施例的组件图和截面图。
73.图8a是俯视图,而图8b是沿着金属线810的中线viiib的截面图。 对应的掩模802具有位于部分806上方的区段804。部分806由分界线c1、 c2来分界。opc技术与掩模802一起使用,以使部分806比部分818和第 三部分820中的每一个都更薄。
74.图9a和图9b对应地是根据一些实施例的组件图和截面图。
75.图9a是俯视图,而图9b是沿着金属线910的中线ixb的截面图。对 应的掩模902具有位于部分906下方的区段904。部分906由分界线c1、 c2来分界。opc技术与掩模902一起使用,以使部分906比部分918和第 三部分920中的每一个都更薄。
76.图10是根据一些实施例的电子设计自动化(eda)系统1000的框图。
77.在一些实施例中,eda系统1000包括apr系统。根据一个或者多个 实施例,设计布局图的本文所描述的方法可以例如根据一些实施例使用 eda系统1000来实现。
78.在一些实施例中,eda系统1000是通用计算器件,其包括硬件处理 器1002和非暂时性计算机可读存储介质1004。存储介质1004除其他外用 计算机程序代码1006(即一组可执行指令)进行编码,即存储计算机程序 代码1006。通过硬件处理器1002的指令1006的执行代表(至少部分地) eda工具,其根据一个或者多个实施例(下文中所提到的工艺和/或方法) 实现本文所描述的方法的一部分或者全部。
79.处理器1002经由总线1008电连接至计算机可读存储介质1004。处理 器1002还经由总线1008电连接至i/o接口1010。网络接口1012也经由总 线1008电连接至处理器1002。网络接口1012连接至网络1014,以使得处 理器1002和计算机可读存储介质1004能够经由网络1014连接至外部元 件。处理器1002配置成执行在计算机可读存储介质1004中编码的计算机 程序代码1006,从而使得系统1000可以用于实施所提到的工艺和/或方法 的一部分或者全部。在一个或者多个实施例中,处理器1002是中央处理单 元(cpu)、多处理器、分布式处理系统、专用集成电路(asic)、和/ 或合适的处理单元。
80.在一个或者多个实施例中,计算机可读存储介质1004是电的、磁的、 光的、电磁
的、红外的、和/或半导体系统(或装置或器件)。例如,计算 机可读存储介质1004包括半导体或者固态存储器、磁带、可移动计算机磁 盘、随机存取存储器(ram)、只读存储器(rom)、刚性磁盘、和/或 光盘。在使用光盘的一个或者多个实施例中,计算机可读存储介质1004包 括只读光盘存储器(cd-rom)、读/写光盘(cd-r/w)、和/或数字视频 光盘(dvd)。
81.在一个或者多个实施例中,存储介质1004存储计算机程序代码1006, 该计算机程序代码1006配置成使得系统1000(其中这种执行代表(至少 部分)eda工具)可以用于实施所提到的工艺和/或方法的一部分或者全部。 在一个或者多个实施例中,存储介质1004还存储有助于实施所提到的工艺 和/或方法的一部分或者全部的信息。在一个或者多个实施例中,存储介质 1004存储包括本文所公开的这种标准单元的标准单元库1007。在一个或者 多个实施例中,存储介质1004存储与本文所公开的一个或者多个布局相对 应的一个或者多个布局图1009。
82.eda系统1000包括i/o接口1010。i/o接口1010连接至外部电路。 在一个或者多个实施例中,i/o接口1010包括键盘、小键盘、鼠标、轨迹 球、触控板、触摸屏、和/或光标方向键,用于将信息和命令传达至处理器 1002。
83.eda系统1000还包括连接至处理器1002的网络接口1012。网络接口 1012允许系统1000与网络1014通信,一个或者多个其他计算机系统连接 至网络1014。网络接口1012包括诸如bluetooth、wifi、wimax、 gprs、或者wcdma的无线网络接口,或者诸如ethernet、usb、或 者ieee-1364的有线网络接口。在一个或者多个实施例中,所提到的工艺 和/或方法的一部分或者全部可以实现在两个或者多个系统1000中。
84.系统1000配置成通过i/o接口1010接收信息。通过i/o接口1010接 收的信息包括指令、数据、设计规则、标准单元库、和/或其他用于通过处 理器1002处理的参数中的一个或者多个。信息经由总线1008传输至处理 器1002。eda系统1000配置成通过i/o接口1010接收与ui相关的信息。 该信息作为用户界面(ui)1042存储在计算机可读介质1004中。
85.在一些实施例中,所提到的工艺和/或方法的一部分或者全部实现为用 于由处理器执行的独立软件应用。在一些实施例中,所提到的工艺和/或方 法的一部分或者全部实现为作为附加软件应用的一部分的软件应用。在一 些实施例中,所提到的工艺和/或方法的一部分或者全部实现为至软件应用 的插件。在一些实施例中,所提到的工艺和/或方法中的至少一个实现为作 为eda工具的一部分的软件应用。在一些实施例中,所提到的工艺和/或 方法的一部分或者全部实现为由eda系统1000使用的软件应用。在一些 实施例中,包括标准单元的布局图使用可以从cadence designsystems,inc.获得的诸如的工具、或者其他合适的布局生 成工具来生成。
86.在一些实施例中,所述工艺实现为存储在非暂时性计算机可读记录介 质中的程序的功能。非暂时性计算机可读记录介质的示例包括但不限于外 部的/可移动的、和/或内部的/内置的存储器或者存储器单元,例如,诸如 dvd的光盘、诸如硬盘的磁盘、诸如rom、ram的半导体存储器、存储 卡等中的一个或者多个。
87.图11是根据一些实施例的集成电路(ic)制造系统1100以及与其相 关的ic制造流程的框图。
88.制造系统1100配置成制造在对应的图1a-图1b、图2、图3a-图3b、 图4a-图4b、图5、图8a、图8b、图9a、和图9b中公开的可熔结构100、 200、300、400、500、800、900。
fab 1150实施以制造ic器件1160的处理。lpc基于ic设计 布局图1122来模拟该处理,以创建模拟的制造器件,例如ic器件1160。 lpc模拟中的处理参数可以包括与ic制造周期的各种工艺相关的参数,与 用于制造ic的工具相关的参数、和/或制造工艺的其他方面。lpc考虑了 各种因素,例如航空图像对比度、焦深(“dof”)、掩模误差增强因素 (“meef”)、其他合适的因素等、或其组合。在一些实施例中,在通过 lpc创建了模拟的制造器件之后,如果模拟的器件在形状上不够接近于满 足设计规则,则重复opc和/或mrc,以进一步细化ic设计布局图1122。
96.应当理解的是,为了清楚的目的,掩模数据准备1132的上述描述已经 进行简化。在一些实施例中,数据准备1132包括诸如逻辑操作(lop)的 附加特征,以根据制造规则来修改ic设计布局图1122。另外,在数据准 备1132期间应用于ic设计布局图1122的工艺可以以各种不同的顺序来执 行。
97.在掩模数据准备1132之后以及在掩模制造1144期间,可以基于修改 的ic设计布局图1122来制造一个掩模1145或者一组掩模1145。在一些 实施例中,掩模制造1144包括基于ic设计布局图1122来实施一个或者多 个光刻曝光。在一些实施例中,电子束(e-beam)或者多个电子束的机构 用于基于修改的ic设计布局图1122,在掩模(光掩模或者掩模版)1145 上形成图案。掩模1145可以以各种技术来形成。在一些实施例中,掩模1145使用二进制技术来形成。在一些实施例中,掩模图案包括不透明区和 透明区。用于曝光已经涂覆在晶圆上的图像敏感材料层(例如光刻胶)的 诸如紫外线(uv)束的辐射束,由不透明区阻挡,并且透射穿过透明区。 在一个示例中,掩模1145的二元掩模版本包括透明衬底(例如熔融石英) 和涂覆在该二元掩模的不透明区中的不透明材料(例如铬)。在另一个示 例中,掩模1145使用相移技术来形成。在掩模1145的相移掩模(psm) 版本中,在相移掩模上形成的图案中的各种特征配置成具有适当的相差, 以增强分辨率和成像质量。在各种示例中,相移掩模可以是衰减的psm或 者交替的psm。由掩模制造1144生成的(一些)掩模使用在多种工艺中。 例如,这样的(一些)掩模可以使用在离子注入工艺中,以在半导体晶圆 1153中形成各种掺杂区;这样的(一些)掩模可以使用在蚀刻工艺中,以 在半导体晶圆1153中形成各种蚀刻区;和/或这样的(一些)掩模可以使 用在其他合适的工艺中。
98.ic fab 1150是ic制造企业,其包括用于制造各种不同ic产品的一个 或者多个制造场所。在一些实施例中,ic fab 1150是半导体铸造厂。例如, 可能有一个制造场所用于多个ic产品的前端制造(生产线前端(feol) 制造),而第二个制造场所可以为ic产品的互连和封装提供后端制造(生 产线后端(beol)制造),并且第三个制造场所可能为铸造业务提供其他 服务。
99.ic fab 1150包括制造工具1152,其配置成在半导体晶圆1153上执行 各种制造操作,从而根据(一些)掩模(例如掩模1145)来制造ic器件 1160。在各种实施例中,制造工具1152包括一个或者多个晶圆步进器、离 子注入机、光刻胶涂布机、工艺室(例如cvd室或者lpcvd炉)、cmp 系统、等离子体蚀刻系统、晶圆清洁系统、或者其他能够实施如本文所讨 论的一个或者多个合适的制造工艺的制造设备。
100.ic fab 1150使用由掩模室1130制造的(一些)掩模1145来制造ic器 件1160。因此,ic fab 1150至少间接地使用ic设计布局图1122来制造ic 器件1160。在一些实施例中,半导体晶圆1153通过ic fab 1150使用(一 些)掩模1145来制造,以形成ic器件1160。在一
些实施例中,ic制造包 括至少间接地基于ic设计布局图1122实施一个或者多个光刻曝光。半导 体晶圆1153包括硅衬底或者在其上形成有材料层的其他合适的衬底。半导 体晶圆1153还包括各种掺杂区、介电部件、多层互连等(在随后的制造步 骤中形成)中的一个或者多个。
101.关于集成电路(ic)制造系统(例如图11的系统1100)以及与之相 关的ic制造流程的细节可以在例如2016年2月9日授权的第9,256,709号 美国专利(一种转变第一ic图案的方法,其中,第一ic图案包括非多个 用户定义的形状之一的形状,该方法包括以下步骤:使用计算机,推导近 似于第一ic图案的第二ic图案,其中第二ic图案包括作为多个用户定义 形状之一的形状;计算第一ic图案和第二ic图案之间的图案接近误差; 以及在图案接近误差大于用户定义的阈值的条件下,执行以下步骤:将第 一ic图案分成多个子图案;以及递归地变换多个子模式中的每一个)、2015 年10月1日公开的第20150278429号美国预授权出版物(一种方法,包括: 接收ic的设计布局,设计布局具有主要特征;对主要特征进行过程校正, 从而生成修改后的主要特征;使用计算机,生成修改后的主要特征的模拟 轮廓,模拟轮廓具有多个点;生成多个辅助数据,其中每个辅助数据包括 与这些点之一相关联的至少一个工艺性能因子;将模拟轮廓和辅助数据存 储在有形的计算机可读介质中,以供包括掩模检查工艺或晶圆检查工艺的 其他ic处理阶段使用;使用具有修改后的主要特征的设计布局形成掩模; 以及使用模拟轮廓和多个辅助数据检查掩模,其中,至少一个工艺性能因 子包括掩膜错误增强因子(meef),并且其中,对掩模的检查包括:识别 点中与比另一个meef数据更高的meef数据相关联的一个;识别与另一 点相比,与更高的meef数据相关联的一个点;并比对应于所述另一点的 掩模的另一特征更彻底地检查与所述其中一点的相对应的掩模特征)、2014 年2月6日公开的第20140040838号美国预授权出版物(一种制造掩模的 方法,包括:接收ic设计布局;进行目标特征周围(tfs)检查操作,以 识别ic设计布局中的目标特征周围位置(tfsl);在tfsl上插入相线 (pb);对具有所述pb的ic设计布局进行光学接近校正(opc),以形 成修改后的ic设计布局;以及根据修改后的ic设计布局制作掩模)、和2007年8月21日授权的第7,260,442号美国专利(一种掩模制造方法,其 包括:提供材料数据及掩模数据;依据该材料数据及该掩模数据决定第一 制程参数;依据该第一制程参数执行第一掩模制程以处理第一掩模;收集 对应于该第一掩模制程的第一制程资料;依据该材料数据、该掩模数据、 该第一制程数据决定反馈校正数据;依据反馈校正资料校正该第一制程参 数以获得第二制程参数;以及依据该第二制程参数,执行第二掩模制程以 处理第二掩模)中找到,每一项的全部内容通过引用合并于此。
102.在一些实施例中,一种可熔结构,包括:金属线,沿着第一方向延伸; 以及第一伪结构,设置成相对于第二方向接近于金属线,第二方向垂直于 第一方向;并且其中:相对于第一方向,金属线包括第一部分、第二部分、 和第三部分,第二部分位于第一部分和第三部分之间;相对于垂直于第一 方向和第二方向的第三方向,第一部分具有第一厚度,第二部分具有第二 厚度,第一厚度大于第二厚度;并且第一伪结构接近于金属线的第二部分。 在一些实施例中,金属线的第二部分和第一伪结构具有基本相同的宽度。 在一些实施例中,第一伪结构和金属线之间的间隙的尺寸与金属线的第二 厚度成比例。在一些实施例中,金属线电连接至导体。在一些实施例中, 第一伪结构相对于第一方向与金属线的第二部分基本对准。在一些实施例 中,可熔结构还包括:第二伪结构;并且其中:第二伪结构相对于第
二方 向接近于金属线;第二伪结构相对于第一方向与第二部分基本对准;并且 第二伪结构和第一伪结构相对于第一方向位于金属线的相对侧上。在一些 实施例中,可熔结构还包括:第三伪结构和第四伪结构;并且其中:相对 于第一方向,金属线包括第四部分和第五部分;第三部分和第五部分相对 于第三方向各自具有大约第一厚度;第四部分位于第三部分和第五部分之 间;第四部分相对于第三方向具有大约第二厚度;第三伪结构和第四伪结 构相对于第二方向接近于金属线;第三伪结构和第四伪结构相对于第一方 向与第二部分基本对准;并且第三伪结构和第四伪结构相对于第一方向位 于金属线的相对侧上。在一些实施例中,可熔结构还包括:第二伪结构; 以及第三伪结构;并且其中:第二伪结构和第三伪结构相对于第二方向接 近于金属线;相对于第一方向,第一伪结构位于金属线的与第二伪结构和 第三伪结构中的每一个相对的一侧上;第一伪结构相对于第二方向至少部 分地位于第二伪结构和第三伪结构之间;并且第一伪结构、第二伪结构、 和第三伪结构相对于第一方向至少部分地与金属线的第二部分对准。在一 些实施例中,金属线位于第一金属层中;第一伪结构位于不同于第一金属 层的第二金属层中。在一些实施例中,可熔结构还包括:第一接触焊盘; 以及第二接触焊盘;并且其中:第二部分相对于第一方向位于第一接触焊 盘和第二接触焊盘之间;长度限定为相对于第一方向从第一接触焊盘至第 二接触焊盘;金属线的宽度限定为相对于第二方向;比值限定为长度除以 宽度;并且比值在约4和约100之间的范围内。在一些实施例中,金属线 的宽度限定为相对于第二方向;距离限定为相对于第二方向在金属线和第 一伪结构之间;并且比值限定为距离除以宽度;其中比值在约0.1和约100 之间的范围内。在一些实施例中,可熔结构还包括:第一接触焊盘;以及 第二接触焊盘;并且其中:第二部分相对于第一方向位于第一接触焊盘和 第二接触焊盘之间;第一长度限定为相对于第一方向从第一接触焊盘至第 二接触焊盘;第一伪结构的第二长度限定为相对于第一方向;并且比值限 定为第二长度除以第一长度,其中,比值在约0.01和约0.99之间的范围内。 在一些实施例中,第一伪结构的第一长度限定为相对于第一方向;第一伪 结构的第二长度限定为相对于第二方向;比值限定为第二长度除以第一长 度;并且比值在约0.01和约100之间的范围内。在一些实施例中,第一伪 结构的长度限定为相对于第二方向;距离限定为相对于第二方向在金属线 和第一伪结构之间;比值限定为长度除以距离;并且比值在约0.01和约100 之间的范围内。
103.在一些实施例中,一种可熔结构,包括:金属线,沿着第一方向延伸; 第一伪结构,设置成相对于第二方向与金属线相距第一距离,第二方向垂 直于第一方向;并且其中:相对于第一方向,金属线包括第一部分、第二 部分、和第三部分,第二部分位于第一部分和第三部分之间;相对于垂直 于第一方向和第二方向的第三方向,第一部分比第二部分更薄。在一些实 施例中,可熔结构还包括:第一接触焊盘;第二接触焊盘;第三接触焊盘; 第四接触焊盘;并且其中:第一接触焊盘和第二接触焊盘均相对于第一方 向与金属线的第一端基本对准;第一接触焊盘和第二接触焊盘相对于第一 方向位于金属线的相对侧上;第三接触焊盘和第四接触焊盘均相对于第一 方向与金属线的第二端基本对准,并且第三接触焊盘和第四接触焊盘相对 于第一方向位于金属线的相对侧上;金属线的第一端与金属线的第二端相 对。在一些实施例中,第一接触焊盘和第三接触焊盘相对于第一方向位于 金属线的第一侧上;第二接触焊盘和第四接触焊盘相对于第一方向位于金 属线的第二侧上;第二部分相对于第一方向位于第一接触焊盘和第三接触 焊盘之间;并且第二部分相对于第一
方向位于第二接触焊盘和第四接触焊 盘之间。在一些实施例中,金属线的第二部分和第一伪结构具有基本相同 的宽度。在一些实施例中,第一伪结构和金属线之间的间隙的尺寸与金属 线的第二厚度成比例。
104.在一些实施例中,一种制造可熔结构的方法,该方法包括:形成沿着 第一方向延伸的金属线,形成金属线包括:配置掩模,使得金属线具有第 一部分,该第一部分位于第二部分和第三部分之间;使用光学接近度校正 技术和掩模,使得第一部分比第二部分和第三部分中的每一个都更薄;以 及形成接近于金属线并相对于第一方向与第一部分对准的第一伪结构。
105.前面概述了若干实施例的特征,使得本领域的技术人员可以更好地理 解本公开的各个方面。本领域的技术人员应该理解,他们可以容易地使用 本公开作为用于设计或修改用于执行与本公开相同或类似的目的和/或实 现相同或类似优点的其他工艺和结构的基础。本领域的技术人员还应该意 识到,这种等效结构不背离本公开的精神和范围,并且可以进行各种改变、 替换和变更而不背离本公开的精神和范围。
再多了解一些

本文用于企业家、创业者技术爱好者查询,结果仅供参考。

发表评论 共有条评论
用户名: 密码:
验证码: 匿名发表

相关文献