一种残膜回收机防缠绕挑膜装置的制 一种秧草收获机用电力驱动行走机构

一种采用可控网格多涡卷混沌系统的FPGA系统

2022-06-11 23:13:14 来源:中国专利 TAG:

一种采用可控网格多涡卷混沌系统的fpga系统
技术领域
1.本发明涉及混沌系统技术领域,具体而言,涉及一种采用可控网格多涡卷混沌系统的fpga系统。


背景技术:

2.近年来,混沌动力学已成为一个非常丰富内容、应用广泛的研究领域。安全通信、图像加密、记忆器、系统同步、随机数发生器、复杂网络等都是混沌及其应用的深入研究。随着计算机技术的高速发展,人们对混沌系统的研究更加深入,然而目前研究主要集中在单向多涡卷,基于此得到的混沌系统在通信链路的运用上抗干扰性和抗截获性不强。


技术实现要素:

3.本发明解决的问题是如何加强混沌系统在通信链路的抗干扰性和抗截获性。
4.为解决上述问题,本发明提供一种采用可控网格多涡卷混沌系统的fpga系统,包括依次连接的系统配置数据控制模块、网格多涡卷混沌系统算法模块、浮点数转定点数模块、dac控制模块和dac转换芯片;所述系统配置数据控制模块用于控制所述网格多涡卷混沌系统算法模块进行初始化迭代,所述网格多涡卷混沌系统算法模块用于通过两组阶梯函数分别控制网格多涡卷混沌吸引子的奇偶列数和排列行数,以反复迭代产生混沌数字序列,所述浮点数转定点数模块用于将所述混沌数字序列转换为匹配所述dac转换芯片的数据格式,所述dac控制模块与所述dac转换芯片连接,所述dac控制模块用于控制所述dac转换芯片的工作模式。
5.本发明所述的采用可控网格多涡卷混沌系统的fpga系统,通过两组阶梯函数分别控制网格多涡卷混沌吸引子的奇偶列数和排列行数,以反复迭代产生混沌数字序列,保持混沌吸引子中涡卷与键带的相互间置,能够实现任意数目的网格多涡卷;设计的混沌系统具有结构简单、易于操作、对初值敏感、动力学行为复杂等特点,加强了混沌系统在通信链路的抗干扰性和抗截获性。
6.可选地,所述网格多涡卷混沌系统算法模块基于第一公式建立,所述第一公式包括:
7.8.其中,x、y和z表示状态变量,a、b、a、b、c和d表示实数参数,sgn表示符号函数,g1(x)和g2(y)表示阶梯函数。
9.本发明所述的采用可控网格多涡卷混沌系统的fpga系统,通过两组阶梯函数分别控制网格多涡卷混沌吸引子的奇偶列数和排列行数,以反复迭代产生混沌数字序列,保持混沌吸引子中涡卷与键带的相互间置,能够实现任意数目的网格多涡卷。
10.可选地,所述第一公式还包括:当时,取c=2,d=0,所述可控网格多涡卷混沌系统可产生(n 1)
×
2k个网格多涡卷混沌吸引子以构造偶数列多涡卷。
11.本发明所述的采用可控网格多涡卷混沌系统的fpga系统,通过第一公式构造偶数列多涡卷,进而能够实现任意数目的网格多涡卷。
12.可选地,所述第一公式还包括:当时,取所述可控网格多涡卷混沌系统可产生(n 1)
×
(2k 1)个网格多涡卷混沌吸引子以构造奇数列多涡卷。
13.本发明所述的采用可控网格多涡卷混沌系统的fpga系统,通过第一公式构造奇数列多涡卷进而能够实现任意数目的网格多涡卷。
14.可选地,所述网格多涡卷混沌系统算法模块通过第二公式反复迭代产生所述混沌数字序列,所述第二公式包括:
[0015][0016]
其中,x(j)、y(j)、z(j)表示当前时刻迭代运算得到的状态变量,x(j 1)、y(j 1)、z(j 1)表示下一时刻迭代运算得到的状态变量,t表示离散采样时间步长。
[0017]
本发明所述的采用可控网格多涡卷混沌系统的fpga系统,通过第二公式反复迭代产生混沌数字序列,保持混沌吸引子中涡卷与键带的相互间置,能够实现任意数目的网格多涡卷。
[0018]
可选地,所述第一公式适于通过离散算法得到所述第二公式。
[0019]
本发明所述的采用可控网格多涡卷混沌系统的fpga系统,第一公式适于通过离散算法得到第二公式,以适用fpga等器件对数字系统的处理要求。
[0020]
可选地,所述离散算法包括eluer算法和runge-kutta算法。
[0021]
本发明所述的采用可控网格多涡卷混沌系统的fpga系统,通过设置离散算法包括eluer算法和runge-kutta算法,有利于实现离散化。
[0022]
可选地,所述dac转换芯片采用双通道14位数模转换板卡ad9767。
[0023]
本发明所述的采用可控网格多涡卷混沌系统的fpga系统,通过设置dac转换芯片采用双通道14位数模转换板卡ad9767,有利于实现dac转换。
[0024]
可选地,所述采用可控网格多涡卷混沌系统的fpga系统还包括示波器,所述示波器与所述dac转换芯片连接,所述示波器用于显示所述可控网格多涡卷混沌系统的相图。
[0025]
本发明所述的采用可控网格多涡卷混沌系统的fpga系统,通过设置采用可控网格多涡卷混沌系统的fpga系统还包括示波器,实现可控网格多涡卷混沌系统的相图的显示。
[0026]
可选地,所述示波器采用ds2302a数字示波器。
[0027]
本发明所述的采用可控网格多涡卷混沌系统的fpga系统,通过设置示波器采用ds2302a数字示波器,有利于实现可控网格多涡卷混沌系统的相图的高效显示。
附图说明
[0028]
图1为本发明实施例的采用可控网格多涡卷混沌系统的fpga系统示意图;
[0029]
图2为本发明实施例的4
×
12网格多涡卷混沌系统平衡点的分布中4
×
12混沌系统平衡点关于xi的根;
[0030]
图3为本发明实施例的4
×
12网格多涡卷混沌系统平衡点的分布中4
×
12混沌系统平衡点关于yj的根;
[0031]
图4为本发明实施例的4
×
11网格多涡卷混沌系统平衡点的分布中4
×
11混沌系统平衡点关于xi的根;
[0032]
图5为本发明实施例的4
×
11网格多涡卷混沌系统平衡点的分布中4
×
11混沌系统平衡点关于yj的根;
[0033]
图6为本发明实施例的混沌系统的李雅普诺夫指数谱;
[0034]
图7为本发明实施例的采用龙格-库塔积分法的matlab仿真结果中的2
×
7涡卷和3
×
8涡卷;
[0035]
图8为本发明实施例的采用龙格-库塔积分法的matlab仿真结果中的4
×
9涡卷和4
×
10涡卷;
[0036]
图9为本发明实施例的采用龙格-库塔积分法的matlab仿真结果中的4
×
11涡卷和4
×
12涡卷;
[0037]
图10为本发明实施例的fpga硬件实验结果中的2
×
7涡卷x-y相图和3
×
8涡卷x-y相图;
[0038]
图11为本发明实施例的fpga硬件实验结果中的4
×
9涡卷x-y相图和4
×
10涡卷x-y相图;
[0039]
图12为本发明实施例的fpga硬件实验结果中的4
×
11涡卷x-y相图和4
×
12涡卷x-y相图。
具体实施方式
[0040]
首先,对本发明涉及的专业术语进行简要说明。
[0041]
(1)混沌:是非线性系统所独有且广泛存在的一种非周期运动形式。
[0042]
(2)混沌吸引子:指相空间中具有分数维的吸引子的集合。该吸引集由永不重复自身的一系列点组成,并且无论如何也不表现出任何周期性。混沌轨道就运行在该吸引集中。
[0043]
(3)lyapunov(李雅普诺夫)指数:lyapunov指数用于量度在相空间中初始条件不同的两条相邻轨迹随时间按指数律吸引或分离的程度,这种轨迹收敛或发散的比率称为
lyapunov指数。
[0044]
(4)蔡氏电路:典型蔡氏电路是能够产生双涡卷混沌吸引子的混沌动力系统,具有丰富的动力学特征。
[0045]
(5)fpga(fieldprogrammablegatearray):现场可编程门阵列(fpga)是由通过可编程互连连接的可配置逻辑块(clb)矩阵构成的半导体器件。
[0046]
(6)runge-kutta算法(龙格-库塔法):是用于非线性常微分方程的解的重要的一类隐式或显式迭代法。
[0047]
(7)euler算法:在数学和计算机科学中,欧拉方法是一种一阶数值方法,用以对给定初值的常微分方程求解。它是一种解决数值常微分方程的最基本的一类显型方法。
[0048]
为使本发明的上述目的、特征和优点能够更为明显易懂,下面结合附图对本发明的具体实施例做详细的说明。
[0049]
如图1所示,本发明实施例提供一种采用可控网格多涡卷混沌系统的fpga系统,包括依次连接的系统配置数据控制模块、网格多涡卷混沌系统算法模块、浮点数转定点数模块、dac控制模块和dac转换芯片;所述系统配置数据控制模块用于控制所述网格多涡卷混沌系统算法模块进行初始化迭代,所述网格多涡卷混沌系统算法模块用于通过两组阶梯函数分别控制网格多涡卷混沌吸引子的奇偶列数和排列行数,以反复迭代产生混沌数字序列,所述浮点数转定点数模块用于将所述混沌数字序列转换为匹配所述dac转换芯片的数据格式,所述dac控制模块与所述dac转换芯片连接,所述dac控制模块用于控制所述dac转换芯片的工作模式。
[0050]
具体地,在本实施例中,采用可控网格多涡卷混沌系统的fpga系统包括依次连接的系统配置数据控制模块、网格多涡卷混沌系统算法模块、浮点数转定点数模块、dac控制模块和dac转换芯片,通过初值的设定,系统配置数据控制模块控制网格多涡卷混沌系统算法模块进行初始化迭代,以控制整个fpga系统的运行,网格多涡卷混沌系统算法模块是整个fpga系统的核心,通过两组阶梯函数分别控制网格多涡卷混沌吸引子的奇偶列数和排列行数,以反复迭代产生混沌数字序列,浮点数转定点数模块对网格多涡卷混沌系统算法模块输出的浮点数据进行数据转换以匹配dac转换芯片的数据格式,通过dac控制模块控制dac转换芯片的工作模式,并接入示波器以得到该系统混沌序列的模拟波形。
[0051]
基于蔡式电路和已有的单、双涡卷混沌系统,引入两组阶梯函数,分别控制网格多涡卷混沌吸引子的奇偶列数和排列行数,保持混沌吸引子中涡卷与键带的相互间置,能够实现任意数目的网格多涡卷,扩充了现有网格多涡卷混沌系统的设计思路,具有结构简单、易于操作、对初值敏感、动力学行为复杂等特点,可用于加强混沌系统在通信链路的抗干扰性和抗截获性等应用。由于混沌信号具有类随机性、相关性好及难以截获破解等特性,在保密通信中具有着天然的优势,可以有效的提高通信过程的安全性。在保密通信、扩频通信及多用户通信等领域,使用混沌信号代替部分原始信号,可提高系统的性能,具有抑制用户间干扰等优点。
[0052]
在本实施例中,通过两组阶梯函数分别控制网格多涡卷混沌吸引子的奇偶列数和排列行数,以反复迭代产生混沌数字序列,保持混沌吸引子中涡卷与键带的相互间置,能够实现任意数目的网格多涡卷;设计的混沌系统具有结构简单、易于操作、对初值敏感、动力学行为复杂等特点,加强了混沌系统在通信链路的抗干扰性和抗截获性。
[0053]
可选地,所述网格多涡卷混沌系统算法模块基于第一公式建立,所述第一公式包括:
[0054][0055]
其中,x、y和z表示状态变量,a、b、a、b、c和d表示实数参数,sgn表示符号函数,g1(x)和g2(y)表示阶梯函数。
[0056]
具体地,在本实施例中,第一公式包括:
[0057][0058]
其中,x、y和z表示状态变量,a、b、a、b、c和d表示实数参数,sgn表示符号函数,g1(x)和g2(y)表示阶梯函数。通过两组阶梯函数分别控制网格多涡卷混沌吸引子的奇偶列数和排列行数,以反复迭代产生混沌数字序列,保持混沌吸引子中涡卷与键带的相互间置,能够实现任意数目的网格多涡卷。
[0059]
其中,网格多涡卷混沌系统算法模块等可视为程序模块。
[0060]
在本实施例中,通过两组阶梯函数分别控制网格多涡卷混沌吸引子的奇偶列数和排列行数,以反复迭代产生混沌数字序列,保持混沌吸引子中涡卷与键带的相互间置,能够实现任意数目的网格多涡卷。
[0061]
可选地,所述第一公式还包括:当时,取c=2,d=0,所述可控网格多涡卷混沌系统可产生(n 1)
×
2k个网格多涡卷混沌吸引子以构造偶数列多涡卷。
[0062]
具体地,在本实施例中,以4
×
12涡卷混沌吸引子为例,取c=2,d=0,k=6,n=3,并令第一公式右侧为0,化简可得:
[0063][0064]
对于上式,系统的平衡点为(xi,yj,-xi),xi为x=g1(x)的根,i=1,2,3,...,n;yj为y=g2(y)的根,j=1,2,3,...,n。
[0065]
根据图2和图3可见,在x∈(4e,4e 4),e∈z,g1(x)是连续的,系统稳定平衡点的xi落在(4e,4e 4)内;在y∈(2f-1,2f 1),f∈n,g2(y)是连续的,系统稳定平衡点的yj落在(2f-1,2f 1)内。所以,构成稳定平衡点关于xi的根有12个,构成稳定平衡点关于yj的根有4个,故可产生4
×
12个多涡卷吸引子。即在稳定平衡点处,对应着涡卷区,而在不稳定平衡点处对应着键波区。由此类推,当k和n取一定值时,系统就能构成行数为n 1,列数为2k的(n 1)
×
2k网格多涡卷混沌吸引子。
[0066]
在本实施例中,通过第一公式构造偶数列多涡卷,进而能够实现任意数目的网格多涡卷。
[0067]
可选地,所述第一公式还包括:当a=10,b=15时,取所述可控网格多涡卷混沌系统可产生(n 1)
×
(2k 1)个网格多涡卷混沌吸引子以构造奇数列多涡卷。
[0068]
具体地,在本实施例中,同理,以4
×
11涡卷吸引子为例,取c=2,d=0,k=6,n=3。
[0069]
结合图4和图5可见,系统平衡点关于xi的根落在(4e-2,4e 2)内;系统稳定平衡点关于yj的根落在(2f-1,2f 1)内。构成稳定平衡点关于xi的根有11个,构成稳定平衡点关于yj的根有4个,故可产生4
×
11个多涡卷吸引子。由此类推,系统就能构成行数为n 1,列数为2k 1的(n 1)
×
(2k 1)网格多涡卷混沌吸引子。
[0070]
另外,结合图6所示,以4
×
12网格多涡卷混沌吸引子为例,利用matlab软件进行数值仿真,得到李雅普诺夫指数谱。计算得系统的李雅普诺夫指数为:le1=0.235382,le2=0.236098,le3=-4.32862。且由第一公式计算可知,系统的散度为与le1 le2 le3=-3.857142的结果完全符合,所以该系统计算得到的李雅普诺夫指数是合理的。
[0071]
另外,结合图7-图9所示,对第一公式采用龙格-库塔积分法,所显示的部分matlab仿真结果。结合图10-图12所示,可见该系统软硬件实现结果相一致,证明了本发明所提出的一类网格多涡卷混沌系统族的物理可实现性。
[0072]
在本实施例中,通过第一公式构造奇数列多涡卷进而能够实现任意数目的网格多涡卷。
[0073]
可选地,所述网格多涡卷混沌系统算法模块通过第二公式反复迭代产生所述混沌数字序列,所述第二公式包括:
[0074][0075]
其中,x(j)、y(j)、z(j)表示当前时刻迭代运算得到的状态变量,x(j 1)、y(j 1)、z(j 1)表示下一时刻迭代运算得到的状态变量,t表示离散采样时间步长。
[0076]
具体地,在本实施例中,网格多涡卷混沌系统算法模块通过第二公式反复迭代产生混沌数字序列,第二公式包括:
[0077][0078]
其中,x(j)、y(j)、z(j)表示当前时刻迭代运算得到的状态变量,x(j 1)、y(j 1)、z(j 1)表示下一时刻迭代运算得到的状态变量,t表示离散采样时间步长。
[0079]
在本实施例中,通过第二公式反复迭代产生混沌数字序列,保持混沌吸引子中涡卷与键带的相互间置,能够实现任意数目的网格多涡卷。
[0080]
可选地,所述第一公式适于通过离散算法得到所述第二公式。
[0081]
具体地,在本实施例中,由于fpga等器件只适合处理数字系统,所以,必须对连续时间混沌系统采取离散化处理,第一公式可通过离散算法得到第二公式。其中,fpga开发板采用xc7z020-2clg400i的zynq7000系列芯片。
[0082]
在本实施例中,第一公式适于通过离散算法得到第二公式,以适用fpga等器件对数字系统的处理要求。
[0083]
可选地,所述离散算法包括eluer算法和runge-kutta算法。
[0084]
具体地,在本实施例中,离散算法包括eluer算法和runge-kutta算法,从fpga的实现难度和硬件资源的使用情况来看,使用eluer算法更易于实现本发明所提出的混沌系统,且资源占用情况较少。
[0085]
在本实施例中,通过设置离散算法包括eluer算法和runge-kutta算法,有利于实现离散化。
[0086]
可选地,所述dac转换芯片采用双通道14位数模转换板卡ad9767。
[0087]
具体地,在本实施例中,dac转换芯片采用双通道14位数模转换板卡ad9767,ad9767针对通讯使用中的i数据与q数据处理进行了优化。数字接口含有两个双缓冲锁存器以及操控逻辑。独立的写输入答应数据互相独登时写入两个dac端口。独立的时钟可操控各dac的更新速率。
[0088]
在本实施例中,通过设置dac转换芯片采用双通道14位数模转换板卡ad9767,有利于实现dac转换。
[0089]
可选地,所述采用可控网格多涡卷混沌系统的fpga系统还包括示波器,所述示波器与所述dac转换芯片连接,所述示波器用于显示所述可控网格多涡卷混沌系统的相图。
[0090]
具体地,在本实施例中,采用可控网格多涡卷混沌系统的fpga系统还包括示波器,示波器与dac转换芯片连接,示波器用于显示可控网格多涡卷混沌系统的相图。
[0091]
在本实施例中,通过设置采用可控网格多涡卷混沌系统的fpga系统还包括示波器,实现可控网格多涡卷混沌系统的相图的显示。
[0092]
可选地,所述示波器采用ds2302a数字示波器。
[0093]
具体地,在本实施例中,示波器采用ds2302a数字示波器,ds2302a数字示波器具有丰富的触发和总线的解码功能,且接口丰富。
[0094]
在本实施例中,通过设置示波器采用ds2302a数字示波器,有利于实现可控网格多涡卷混沌系统的相图的高效显示。
[0095]
虽然本发明公开披露如上,但本发明公开的保护范围并非仅限于此。本领域技术人员在不脱离本发明公开的精神和范围的前提下,可进行各种变更与修改,这些变更与修改均将落入本发明的保护范围。
再多了解一些

本文用于企业家、创业者技术爱好者查询,结果仅供参考。

发表评论 共有条评论
用户名: 密码:
验证码: 匿名发表

相关文献