一种残膜回收机防缠绕挑膜装置的制 一种秧草收获机用电力驱动行走机构

半导体结构的制作方法

2023-01-17 17:24:45 来源:中国专利 TAG:


1.本实用新型涉及半导体结构,尤其涉及具有低栅极电阻的半导体结构。


背景技术:

2.半导体集成电路(ic)产业历经了指数级成长。集成电路(ic)材料和设计的技术进步产生了数代集成电路,每一代的电路都比上一代更小、更复杂。在集成电路(ic)演进工艺中,通常增加功能密度(意即每芯片面积内连线装置的数量),同时缩小几何尺寸(意即可使用工艺制造的最小组件(或线))。这种按比例微缩的工艺通常通过提高生产效率和降低相关成本来提供益处。这种按比例微缩也增加了集成电路(ic)加工和制造的复杂性,为了实现集成电路装置及其性能的持续进步,需要在集成电路工艺及技术中的相似进步。
3.这种按比例微缩也增加了集成电路(ic)加工和制造的复杂性,为了实现集成电路装置及其性能的持续进步,需要在集成电路工艺及技术中的相似进步。举例来说,在尺寸微缩的情况下形成低电阻金属栅极结构存在挑战,例如在纳米片场效晶体管(nsfet;也称为全绕式栅极场效晶体管或gaa fet) 中。至少出于这个原因,需要在此领域进行改进。


技术实现要素:

4.本公开的目的在于提出一种半导体结构,以解决上述至少一个问题。
5.本公开一些实施例提供一种半导体结构,半导体结构包括从基板突出的半导体鳍;栅极结构,与半导体鳍接合。半导体结构还包括层间电介质(ild) 层,设置在基板上方并相邻栅极结构,其中栅极结构的顶面低于层间介电层的顶面;第一金属层,直接接触栅极结构的顶面;第二金属层设置在第一金属层上方,其中第一金属层设置在第二金属层的底面和侧壁表面上,其中第二金属层的底面具有凹形轮廓,且其中第二金属层在成分上不同于第一金属层;以及栅极接触,设置在第二金属层上方。
6.根据本公开其中的一个实施方式,该第二金属层的一顶面具有一凸形轮廓。
7.根据本公开其中的一个实施方式,还包括一第二层间介电层,设置在该第二金属层上方,其中该第二层间介电层的一部分设置在该第一金属层的一侧壁和该第二金属层的一侧壁之间。
8.根据本公开其中的一个实施方式,该第一金属层包括氮化钛、氮化钽或上述的组合。
9.根据本公开其中的一个实施方式,该第二金属层包括钨。
10.根据本公开其中的一个实施方式,还包括一气隙,设置于该第一金属层的一侧壁与该第二金属层的一侧壁之间。
11.本公开另一些实施例提供一种半导体结构,半导体结构包括从半导体基板延伸的鳍;栅极堆叠,设置在鳍的通道区上方;胶层,接触栅极堆叠的顶面;含钨覆盖层,设置在胶层上方,其中胶层围绕含钨覆盖层的底部和侧壁表面。半导体结构还包括接触部件,设置在含钨覆盖层的顶面上。
12.根据本公开其中的一个实施方式,该鳍包括多个半导体层,且其中该栅极堆叠的一底部与多个所述半导体层交错。
13.根据本公开其中的一个实施方式,该含钨覆盖层和该栅极堆叠两者包括多个氟原子。
14.根据本公开其中的一个实施方式,该栅极堆叠包括铝,且其中多个所述氟原子选择性与该栅极堆叠中的铝键结。
附图说明
15.由以下的详细叙述配合所附附图,可更加理解本公开实施例的观点。应注意的是,依据在业界的标准做法,各种特征并未按照比例绘制且仅用以说明例示。事实上,为了讨论的清晰,可任意地放大或缩小各种部件的尺寸。
16.图1a和图1b是根据本公开的一些实施例的用于制造示例半导体结构的示例方法的流程图。
17.图2是根据本公开的一些实施例的如图1a及/或图1b所示的示例方法的中间阶段的示例半导体结构的三维透视图。
18.图3a、图4a、图5a、图6a、图7a、图8a、图9a、图10a、图11a、图12a、图15a、图16a、图17a、图20a和图21a是根据本公开的一些实施例的如第1a及/或1b图中所示的示例方法的中间阶段的沿图2所示的切线a-a'的示例半导体结构的剖面图。
19.图3b、图4b、图5b、图6b、图7b、图8b、图9b、图10b、图11b、图12b、图15b、图16b、图17b、图18a、图18b、图18c、图18d、图 20b、图21b、图22a、图22b、图22c、图22d和图22e是根据本公开的一些实施例的如第1a及/或1b图中所示的示例方法的中间阶段的沿图2所示的切线b-b'的示例半导体结构的剖面图。
20.图13是根据本公开的一些实施例的如图1a及/或图1b中所示的示例方法所采用的沉积工艺的示例示意图。
21.图14是示例性示意图,其显示薄膜厚度的变化为根据本公开的一些实施例的如图1a及/或图1b中所示的示例方法所采用的沉积工艺的工艺温度和工艺循环次数的函数。
22.图19是示例性示意图,其显示根据本公开的一些实施例的如图2-图 12b、图15a-图18d和图20a-图22e中的一个或多个所示的示例性半导体结构的一部分中的氟浓度。
23.附图标记如下:
24.100,150:方法
25.102,104,106,108,110,112,114,116,152,154,156,158,160,162:操作
26.200:半导体结构(结构)
27.202:半导体基板(基板)
28.204a,204b:三维装置区域(鳍)
29.205:非通道层
30.206:通道层
31.207:硬掩模层
32.208:隔离部件
33.209:包覆层
(above)”、“上方(over)”、“之下(below)”、“下方(beneath)”、“向上(up)”、“向下(down)”、“顶部(top)”、“底部(bottom)”、等等及类似的用词(举例来说,“水平地(horizontally)”、“向下地(downwardly)”、“向上地(upwardly)”、等等)为了便于描述附图中一个元件或部件与另一个(些)元件或部件之间的关系。空间相关用词意欲包含使用中或操作中的元件或特征的装置(或系统或装置)所述者不同方位。
66.此外,当用“约”、“近似”等描述数字或数字范围时,上述用语意欲涵盖在包括所描述的数字在内的合理范围内的数字,例如所描述数字的 /-10%内的数字或所属技术领域中技术人员理解的其他值。举例来说,用语“约 5nm”包括从4.5nm到5.5nm的尺寸范围。更进一步,本公开可在各种示例中重复参考符号及/或标记。这种重复为了简化与清晰的目的,并非用以限定所讨论的各种实施例及/或配置之间的关系。
67.本公开一般关于半导体装置,并且更特别关于场效晶体管(fet),例如三维鳍式场效晶体管(finfet)、纳米片(ns;也称为全绕式栅极,或gaa) 场效晶体管,在集成电路结构的存储器及/或标准逻辑单元中。通常,纳米片场效晶体管包括在场效晶体管的通道区中的多个垂直堆叠的片(例如,纳米片)、线(例如,纳米线)或棒(例如,纳米棒)。本公开包括多个实施例。不同的实施例可能具有不同的优点,并且任何实施例都不一定需要特定的优点。
68.半导体装置制造的进步带来了许多挑战。在一个这样的示例中,各种装置特征的按比例微缩减少了在形成金属栅极结构(或金属栅极堆叠)期间可用于间隙填充的体积,这可能导致更高的栅极电阻(rg)。虽然在纳米片场效晶体管和鳍式场效晶体管中降低栅极电阻的方法通常已经足够,但它们在所有方面都不是完全令人满意的。
69.共同参考图1a和图1b,显示根据本公开的各个实施例形成半导体结构(以下简称为结构200)的方法100和150的流程图。方法100和150仅仅是示例并且不意欲将本公开限制在权利要求中明确记载的范围。可在方法100 及/或方法150之前、期间和之后提供额外的操作,并且对于方法100及/或 150的额外实施例,可取代、消除或移动所描述的一些操作。
70.结构200可为集成电路或其一部分的工艺期间的中间装置,其可包括静态随机存取存储器(sram)及/或逻辑电路、例如电阻、电容和电感的无源元件以及例如纳米片场效晶体管、鳍式场效晶体管、金属氧化物半导体场效晶体管(mosfet)、互补式金属氧化物半导体(cmos)晶体管、双极性晶体管、高压晶体管、高频晶体管及/或其他晶体管的有源元件。在本实施例中,结构 200包括一个或多个纳米片场效晶体管。本公开不限于任何特定数量的装置或装置区域,或任何特定装置配置。可将额外部件添加到半导体结构200,并且可在结构200的其他实施例中取代、修改或消除下面描述的一些部件。
71.参考图1a和图2-图4b,在操作102(形成从基板突出的多个鳍,多个鳍的多个底部由多个隔绝部件隔开),方法100形成结构200,其包括从半导体基板202(以下称为基板202)突出的多个有源三维装置区域204a和204b(以下称为鳍204a和204b)。结构200可包括从基板202突出并平行于鳍204a 和204b的附加鳍。
72.基板202可包括元素(单元素)半导体,例如硅(si)、锗(ge)及/或其他合适的材料;化合物半导体,例如碳化硅、砷化镓、磷化镓、磷化铟、砷化铟、锑化铟及/或其他合适的材料;合金半导体,例如硅锗(sige)、磷化镓砷(gaasp)、砷化铝铟(alinas)、砷化铝镓(algaas)、砷化镓铟(gainas)、磷化镓铟(gainp)、磷化镓铟砷(gainasp),及/或其他合适的材料。基板202可是具有均匀组成的单层材料。或者,基板202可包括适用于集成电路装置制
造的具有相似或不同成分的多个材料层。
73.在一些实施例中,参考图3a和图3b,鳍204a和204b中的每一个包括垂直堆叠在基板202的突出部分上方的非通道层(或牺牲层)205和通道层206 交替的多层结构(ml),以及多层结构上的硬掩模层207。在本实施例中,非通道层205为牺牲层,其配置在后续工艺步骤中被移除,从而在通道层206 之间提供开口以在其中形成金属栅极堆叠。每个通道层206可包括半导体材料,例如硅(si)、锗(ge)、碳化硅(sic)、硅锗(sige)、锗锡(gesn)、硅锗锡(sigesn)、硅锗碳锡(sigecsn)、其他合适的半导体材料或上述的组合,而每个非通道层205具有不同于通道层206的成分。在一个这样的示例中,通道层206可包括元素硅(si)并且非通道层205可包括硅锗(sige)。在另一示例中,通道层206可包括元素硅(si)并且非通道层205可包括元素锗(ge)。在一些示例中,鳍204a和204b中的每一个可包括总共三到十对交替的非通道层205 和通道层206。根据具体设计要求,也可应用其他配置。
74.在本实施例中,硬掩模层207是牺牲层,其配置为有助于形成栅极隔离部件(下文详细讨论)并随后从结构200移除。因此,硬掩模层207的厚度可基于栅极隔离部件的期望厚度来调整。在一些实施例中,硬掩模层207的厚度大于非通道层205和通道层206的厚度。硬掩模层207可包括任何合适的材料,例如半导体材料,只要其成分不同于随后形成的栅极隔离部件和设置在其下方的通道层206以允许通过蚀刻工艺选择性地移除。在一些实施例中,硬掩模层207具有与非通道层205相似或相同的成分并且包括例如硅锗 (sige)。
75.在本实施例中,形成多层结构包括在一系列外延工艺中交替成长非通道层205和通道层206。外延工艺可通过化学气相沉积(cvd)技术(例如,气相外延(vpe)、超高真空化学气相沉积(uhv-cvd)、低压化学气相沉积(lp-cvd) 及/或等离子体辅助化学气相沉积(pe-cvd))、分子束外延、其他合适的选择性外延成长(seg)工艺或上述的组合。外延工艺可使用含有合适材料的气体及/或液体前驱物(例如,用于非通道层205的锗),其与例如基板202的下面的基板的成分相互作用。在一些示例中,非通道层205和通道层206可形成为纳米片、纳米线或纳米棒。然后可实施片(或线)释放工艺以移除非通道层 205,以在通道层206之间形成开口,并且随后在开口中形成金属栅极堆叠,从而提供纳米片场效晶体管。对于硬掩模层207具有与非通道层205相同的成分的实施例,硬掩模层207也可通过与本文讨论的类似的外延工艺形成。
76.在一些实施例中,如图4a和图4b所示,鳍204a和204b中的每一个包括从基板202突出的单一半导体层,意即沿着鳍的高度具有均匀的成分。本公开不受鳍204a和204b的配置的限制。对于采用多层结构的实施例,在操作106形成虚置栅极结构之前,将对鳍204a和204b施行接续的操作104。对于鳍204a和204b各自包括均匀成分的实施例,可省略操作104,并且可在操作102之后在鳍204a和204b上方形成虚置栅极结构。
77.在本实施例中,鳍204a和204b是使用一系列光刻和蚀刻工艺,从多层结构(以及设置在其上的硬掩模层207)及/或基板202制成的。举例来说,光刻工艺可包括形成覆盖结构200的光刻胶层、将光刻胶层对图案曝光、执行曝光后烘烤工艺、以及显影曝光的光刻胶层以形成图案化的掩模元件(未描绘)。然后使用图案化掩模元件作为蚀刻掩模来蚀刻多层结构及/或基板202,从而留下从基板202突出的鳍204a和204b。蚀刻工艺可包括干蚀刻、湿蚀刻、反应式离子蚀刻(rie)、其他合适的工艺或上述的组合。随后使用任何合适的工艺,例如灰化及/或光刻胶剥离,从结构200移除图案化掩模元件。
78.形成鳍204a和204b的方法的许多其他实施例可能是合适的。举例来说,可使用双重图案化或多重化图案工艺来图案化鳍204a和204b。通常,双重图案化或多重图案化工艺结合光刻和自对准工艺,从而允产生具有例如比使用单次、直接的光刻工艺可获得的间距更小的间距的图案。举例来说,在一个实施例中,牺牲层形成在基板202上方并使用光刻工艺图案化。使用自对准工艺在图案化牺牲层旁边形成间隔物。然后移除牺牲层,然后可使用剩余的间隔物或心轴来图案化鳍204a和204b。
79.随后,方法100在操作102在鳍204a和204b之间形成隔离部件208,其中隔离部件208的顶面在最底部的非通道层205下方。隔离部件208可包括氧化硅(一氧化硅(sio)及/或二氧化硅(sio2))、四乙基正硅酸盐(teos)、掺杂氧化硅(例如,硼磷硅酸盐玻璃(bpsg)、氟掺杂硅酸盐玻璃(fsg)、磷硅酸盐玻璃(psg)、硼掺杂硅酸盐玻璃(bsg)等)、低介电常数(低k)介电材料(介电常数小于氧化硅的介电常数,约为3.9)、其他合适的材料或上述的组合。隔离部件208可包括浅沟槽隔离(sti)部件。在一些实施例中,隔离部件208是通过用上述任何合适的方法,例如化学气相沉积、流动式化学气相沉积 (fcvd)、旋涂玻璃(sog)、其他合适的方法,或上述的组合,用上述介电材料填充将鳍204a和204b分开的沟槽而形成。介电材料随后可通过化学机械平坦化/研磨(cmp)工艺进行平坦化并且选择性地回蚀刻以形成隔离部件 208。隔离部件208可包括单层结构或多层结构。
80.在操作104(沿多个鳍的多个侧壁形成多个包覆层),参考图1、图5a和图5b,方法100沿着鳍204a和204b的侧壁并在隔离部件208上方形成包覆层209。在本实施例中,包覆层209和非通道层205是牺牲层,配置在鳍 204的通道区域中被金属栅极堆叠取代。在本实施例中,包覆层209具有与非通道层205相同的成分且包括硅锗(sige)。在一些实施例中,包覆层209 通过上面讨论的关于形成多层结构的合适方法外延成长。在一些实施例中,包覆层209在结构200的表面上方共形地沉积,而不是外延成长,而作为毯覆非晶层。在一些示例中,包覆层209形成的厚度可为约5nm至约10nm。随后,方法100执行蚀刻工艺,以选择性地移除包覆层209的部分,从而暴露部分隔离部件208和硬掩模层207的顶面。蚀刻工艺可包括干蚀刻工艺、湿蚀刻工艺、反应式离子蚀刻(rie)工艺或上述的组合。
81.如图6a和图6b所示,方法100在操作104在隔离部件208上方形成介电盔214。在本实施例中,形成介电盔214包括首先在隔离部件208上方形成介电结构211。介电结构211被配置为隔离相邻的鳍204a和204b并提供为一基板,在形成虚置栅极结构之前在其上方形成介电盔214。介电结构 211可包括任何合适的材料,例如氧化硅(sio)及/或二氧化硅(sio2)、氮化硅 (sin)、碳化硅(sic)、含氧氮化硅(oxygen-containing silicon nitride,sion)、含氧碳化硅(oxygen-containing silicon carbide,sioc)、含碳氮化硅 (carbon-containing silicon nitride,sicn)、氟掺杂硅酸盐玻璃(fsg)、低k介电材料、其他合适的材料或上述的组合。介电结构211可包括如本文所描绘的单层结构或多层结构,其中介电结构211包括设置在子层211a上方的子层211b。在一些实施例中,介电结构211和隔离部件208的成分不同。介电结构211(或其每个子层)可通过任何合适的方法沉积,例如化学气相沉积 (cvd)、流动式化学气相沉积(fcvd)、旋涂玻璃(sog)、其他合适的方法,或上述的组合,并且随后通过一个或多个化学机械平坦化/研磨(cmp)工艺平坦化,使得介电结构211的顶面与硬掩模层207的顶面实质上共面。
82.随后,方法100在介电结构211上方形成介电盔214。介电盔214可包括氮化硅
(sin)、碳化硅(sic)、氮氧化硅(sion)、碳氧化硅(sioc)、氮碳化硅 (sicn)、氧化铝(al2o3)、一氧化硅(sio)及/或二氧化硅(sio2)、高k介电材料 (具有大于的氧化硅的k值,约为3.9)、其他合适的材料或上述的组合。在本实施例中,介电盔214包括高k介电材料,用于在后续处理步骤期间增强介电盔214的抗蚀刻性。介电盔214可包括单层结构或多层结构。在一些实施例中,介电盔214的介电常数大于介电结构211和隔离部件208的介电常数。在一些实施例中,介电盔214的部分配置为将金属栅极堆叠截断为多个部分。在此方面,可图案化介电盔214以形成一个或多个栅极隔离部件(或栅极切割部件),其与下面的介电结构211以及鳍204a和204b之间自对准。
83.方法100可通过首先使介电结构211的顶部凹陷以形成沟槽来形成介电盔214,使得凹陷的介电结构211的顶面与最顶部的通道层206实质上共面。蚀刻工艺可包括任何合适的工艺,例如干蚀刻工艺、湿蚀刻工艺、反应式离子蚀刻(rie)工艺、其他合适的工艺或上述的组合。然后,方法100继续在沟槽中沉积一种或多种介电材料并执行化学机械研磨工艺以形成介电盔214。可通过任何合适的方法沉积一种或多种介电材料,例如化学气相沉积(cvd)、流动式化学气相沉积(fcvd)、原子层沉积(ald)、其他合适的方法或上述的组合。随后,方法100从结构200移除硬掩模层207以暴露多层结构的最顶部通道层206。因此,介电盔214从鳍204a和204b的顶面突出。在本实施例中,方法100选择性地移除硬掩模层207而不移除或实质上不移除多层结构的介电盔214和最顶部通道层206。
84.参照图1a、图7a和图7b,方法100在操作106通过一系列光刻和蚀刻工艺在鳍204a和204b上方形成虚置(或占位)栅极结构220,其中虚置栅极结构220随后被金属栅极结构取代。虚置栅极结构220可包括设置在可选择的虚置栅极介电层及/或界面层(未单独描述)上方的虚置闸电极(未单独描述)。虚置闸电极可包括多晶硅(poly-si),虚置栅极介电层可包括合适的电介质材料(例如,一氧化硅(sio)及/或二氧化硅(sio2)、氮氧化硅(sion)等),界面层可包括氧化物材料(例如,一氧化硅(sio)及/或二氧化硅(sio2))。其他材料也可适用于本实施例。可通过例如热氧化、化学氧化、化学气相沉积(cvd)、原子层沉积(ald)、物理气相沉积(pvd)、电镀、其他合适的方法或上述的组合的方法来形成虚置栅极结构220的各个层。
85.参考图7b,方法100在虚置栅极结构220的侧壁上形成顶栅极间隔物 222a。顶栅极间隔物222a可包括单层结构或多层结构,并且可包括一氧化硅(sio)及/或二氧化硅(sio2)、氮化硅(sin)、碳化硅(sic)、氮氧化硅(sion)、碳氧化硅(sioc)、氮碳化硅(sicn)、空气、低k介电材料、高k介电材料(例如,氧化铪(hfo2)、氧化镧(la2o3)等)、其他合适的材料或组合其中。可通过首先经由合适的沉积方法(例如,化学气相沉积(cvd)及/或原子层沉积(ald)) 在虚置栅极结构220上方沉积介电层,并随后以异向性(例如,定向)蚀刻工艺(例如,干蚀刻工艺)移除介电层的部分,在每个虚置栅极结构220的侧壁上留下顶栅极间隔物222a。
86.参考图1a、图8a和图8b,方法100在操作108中在鳍204a和204b 中并与虚置栅极结构220相邻形成外延源/漏极(s/d)部件224。在本实施例中,方法100形成外延源/漏极部件224通过首先在鳍204a和204b的源/漏极区域中形成源/漏极凹陷(未描绘),在暴露于源/漏极凹陷中的非通道层205 的侧壁上形成内栅极间隔物222b,以及在源/漏极凹陷中形成外延源/漏极部件224。
87.在本实施例中,方法100通过实施蚀刻工艺,选择性移除源/漏极区域中的鳍204a和204b的部分,来形成源/漏极凹陷。在一些实施例中,蚀刻工艺是采用能够移除多层结构的通道层206(例如硅)和非通道层205(例如硅锗)的合适蚀刻剂的干蚀刻工艺。随后可执行清洁工艺,用氢氟酸(hf)溶液或其他合适的溶液来清洁源/漏极凹陷。
88.内栅极间隔物222b可是单层结构或多层结构,可包括氧化硅、氮化硅 (sin)、氮碳化硅(sicn)、碳氧化硅(sioc)、氮氧化硅(sion)、氮碳氧化硅 (siocn)、低k介电材料、空气、高k介电材料(例如,氧化铪(hfo2)、氧化镧(la2o3)等)、其他合适的介电材料或上述的组合。在一些实施例中,内栅极间隔物222b具有不同于顶栅极间隔物222a的成分。形成内栅极间隔物 222b可包括选择性地移除在源/漏极凹陷中暴露的非通道层205的部分,而不移除或实质上不移除通道层206的部分以形成沟槽(未描绘)。可通过干蚀刻工艺来蚀刻非通道层205。随后,在沟槽中形成一个或多个介电层,随后进行一个或多个蚀刻工艺以移除(即,回蚀刻)沉积在通道层206表面上的多余介电层,从而形成内栅极间隔物222b。可通过任何合适的方法沉积一个或多个介电层,例如原子层沉积(ald)、化学气相沉积(cvd)、物理气相沉积 (pvd)、其他合适的方法或上述的组合。
89.每个外延源/漏极部件224可适用于形成p型金属氧化物半导体(pmos) 装置(即,包括p型外延材料),或者替代地,n型金属氧化物半导体(nmos) 装置(即,包括n型外延材料)。p型外延材料可包括一个或多个硅锗外延层(epi sige),每个外延层都掺杂有p型掺质,例如硼、锗、铟、镓、其他p型掺质或上述的组合。n型外延材料可包括一个或多个硅外延层(epi si)或碳化硅外延层(epi sic),每个外延层都掺杂有n型掺质,例如砷、磷、其他n型掺质或上述的组合。在一些实施例中,执行一个或多个外延成长工艺,以在每个源/漏极凹陷中和在内栅极间隔物222b上方成长外延材料。举例来说,方法100可实施类似于以上关于形成多层结构所讨论的外延成长工艺。在一些实施例中,通过在外延成长工艺期间向来源材料添加掺质来原位掺杂外延材料。在一些实施例中,在执行沉积工艺之后通过离子注入工艺掺杂外延材料。在一些实施例中,随后执行退火工艺以活化外延源/漏极部件224中的掺质。
90.之后,参考图9a和图9b,方法100在操作110用金属栅极结构260取代虚置栅极结构220。方法100首先在结构200上方形成蚀刻停止层 (esl)230,以在后续的工艺中保护下面的组件,例如外延源/漏极部件224。蚀刻停止层230可包括任何合适的介电材料,例如氮化硅(sin)、氮碳化硅 (sicn)、氧化铝(al2o3)、其他合适的材料或上述的组合,并且可通过化学气相沉积(cvd)、原子层沉积(ald)、物理气相沉积(pvd)、其他合适的方法或上述的组合来形成。在本实施例中,蚀刻停止层230相对于其周围的介电组件提供蚀刻选择比,以确保防止对这些组件的意外损伤。然后,方法100在蚀刻停止层230上方形成层间介电(ild)层232,以填充虚置栅极结构220的部分之间的空间。层间介电层232可包括一氧化硅(sio)及/或二氧化硅(sio2)、低k介电材料、四乙基正硅酸盐(teos)、掺杂氧化硅(例如,硼磷硅酸盐玻璃(bpsg)、氟掺杂硅酸盐玻璃(fsg)、磷硅酸盐玻璃(psg)、硼掺杂硅酸盐玻璃(bsg等)、其他合适的介电材料或上述的组合,并且可通过任何合适的方法形成,例如化学气相沉积(cvd)、流动式化学气相沉积(fcvd)、旋涂玻璃 (sog)、其他合适的方法,或上述的组合。方法100随后执行一个或多个化学机械研磨(cmp)工艺以暴露虚置栅极结构220的顶面。
91.在一些实施例中,在取代虚置栅极结构220之前,方法100在操作110 首先图案化
介电盔214,使得介电盔214的至少一部分保留为用于分离随后形成的金属栅极结构的栅极隔离部件,同时从结构200移除介电盔214的剩余部分。在一些实施例中,方法100通过形成图案化掩模元件(未描绘),以暴露与将移除的介电盔214的部分接合的虚置栅极结构220的部分来图案化介电盔214。图案化的掩模元件至少包括能够通过上面关于图案化鳍204a 和204b详细讨论的一系列光刻和蚀刻工艺来图案化的光刻胶层。之后,方法100在蚀刻工艺(例如,干蚀刻工艺)中移除被图案化掩模元件暴露的虚置栅极结构220的部分以暴露介电盔214的部分。在实施蚀刻工艺之后,通过任何合适的方法,例如光刻胶剥离及/或等离子体灰化,从结构200移除图案化掩模元件。然后,在合适的蚀刻工艺(例如,干蚀刻工艺)中,相对于虚置栅极结构220选择性地移除介电盔214的暴露部分,以形成图案化介电盔 214。在一些实施例中,操作112是可选择的并且在随后的操作中图案化介电盔214。在一些实施例中,如图9a所示,介电盔214的描绘部分从结构 200移除。
92.随后,方法100执行蚀刻工艺以移除虚置栅极结构220(或其在对介电盔 214进行图案化之后的剩余部分),从而在顶栅极间隔物222a之间形成栅极沟槽(未描绘)。蚀刻工艺可是干蚀刻工艺、湿蚀刻工艺、反应式离子蚀刻工艺、其他合适的工艺或上述的组合。对于鳍204a和204b各自包括多层结构的实施例,方法100之后移除包覆层209,以沿通道层206的侧壁形成垂直开口(未描绘),且移除非通道层205以形成与通道层206交错的水平开口(未描绘)。在一些实施例中,方法100实施个别的蚀刻工艺以移除包覆层209 和非通道层205。举例来说,方法100可执行第一蚀刻工艺以移除包覆层209,造成沿着鳍204a和204b中的每一个的侧壁的垂直开口,然后执行第二蚀刻工艺以移除非通道层205,造成与通道层206交错的水平开口。非通道层205 和包覆层209具有相同的成分(例如硅锗(sige)),可使用相同的蚀刻剂实施第一和第二蚀刻工艺,例如含氟蚀刻剂,包括氢氟酸(hf)、氟气(f2)、其他含氟蚀刻剂(例如,四氟化碳(cf4)、三氟甲烷(chf3)、氟甲烷(ch3f)等),或上述的组合。对于每个鳍204a和204b包括单一半导体层的实施例,省略了移除包覆层209和非通道层205的工艺。
93.仍然参考图9a和图9b,方法100之后在栅极沟槽、垂直开口(如果存在)和水平开口(如果存在)中形成金属栅极结构260。因此,对于鳍204a和 204b各自包括多层结构的实施例,金属栅极结构260中的每一个的部分环绕 (或交错)每个通道层206并且沿着鳍204a和204b的侧壁延伸。
94.在本实施例中,仍参照图9a和图9b,金属栅极结构260包括栅极介电层262和设置在栅极介电层262上方的金属闸电极264。栅极介电层262可包括高k介电材料,例如氧化铪(hfo2)、氧化镧(la2o3)、其他合适的材料或上述的组合。金属闸电极264包括功函数金属(wfm)层(未单独描绘)和设置在功函数金属层上方的体导电层(未单独描绘)。功函数金属层可包括p型或 n型功函数金属层,例如氮化钛(tin)、氮化钽(tan)、氮化钨(wn)、二硅化锆(zrsi2)、二硅化钼(mosi2)、二硅化钽(tasi2)、二硅化镍(nisi2)、钛(ti)、钽铝(taal)、钽碳化铝(taalc)、氮化钽铝(taaln)、碳化钽(tac)、碳氮化钽 (tacn)、氮化钽硅(tasin)、其他合适的功函数金属或上述的组合。体导电层可包括钴(co)、钨(w)、钌(ru)、铜(cu)、铝(al)、钛(ti)、镍(ni)、金(au)、铂(pt)、钯(pd)、其他合适的材料或上述的组合。在一些实施例中,金属闸电极264包括含铝(al)的功函数金属,例如钽铝(taal)、钽碳化铝(taalc)、氮化钽铝(taaln)、其他含铝(al)的功函数金属,或上述的组合。在一些实施例中,金属闸电极264不
包括体导电层,这是由于增加的装置密度导致的减小的栅极尺寸(例如,栅极长度)。换言之,功函数金属层可在形成体导电层之前完全填充栅极沟槽和开口。
95.金属栅极结构260还可包括其他材料层(未描绘),例如设置在通道层206 的表面上的界面层261、覆盖层、阻挡层、其他合适的层或上述的组合。金属栅极结构260的各个层可通过各种方法形成,包括例如原子层沉积(ald)、化学气相沉积(cvd)、物理气相沉积(pvd)、电镀、其他合适的方法或上述的组合。在形成体导电层之后,执行一道或多道化学机械研磨(cmp)工艺,以移除形成在层间介电层232的顶面上的过量材料,从而平坦化结构200。
96.其后,方法100在操作112在金属栅极结构260上方形成金属覆盖层 274。在本实施例中,金属覆盖层274通过实施图1b所示的方法150形成。
97.参照图1b、图10a和图10b,方法150在操作152(凹陷金属栅极结构以形成沟槽)中凹陷金属栅极结构260以在蚀刻工艺302中形成沟槽266。蚀刻工艺302可是干蚀刻工艺、湿蚀刻工艺、反应式离子蚀刻工艺、其他合适的工艺或上述的组合,并采用一种或多种蚀刻剂,上述蚀刻剂能够移除金属栅极结构260的各个层,且不移除或实质上不移除周围的介电组件,例如顶栅极间隔物222a、蚀刻停止层230和层间介电层232。在一些实施例中,例如图10b中所描绘的,蚀刻工艺302在凹陷的金属栅极结构260中产生实质上平坦的顶面。在一些实施例中,如在图10b中的虚线圈中包围的结构200 的一部分的放大视图中所描绘的,蚀刻工艺302在凹陷的金属栅极结构260 中产生凹陷的(即,凹入的或向上弯曲的)顶面。这可能是由于栅极介电层262 的蚀刻速率略低于金属闸电极264的各层的蚀刻速率。此外,金属闸电极264 的各层的沉积,例如,功函数金属层,可能导致层内的接缝(类似于图12b 中描绘的接缝273),这也可能有助于金属栅极结构260内的不同蚀刻速率。在本实施例中,沟槽266的深度通过调整蚀刻参数来控制,例如蚀刻工艺302 的蚀刻持续时间。
98.在一些实施例中,如图10b所示,在进行蚀刻工艺302之前,金属栅极结构260的剩余部分的高度h2与金属栅极结构260的高度(总高度)h1的比值至少约为0.3。在一些示例中,上述比值可约为0.3至约0.5。在一些实施例中,高度h2和h1之间的高度(高度差)h3也定义了沟槽266的深度,其不同于高度h1,即,高度h3可大于或小于高度h1。在一些实施例中,高度h2与高度h3实质上相同。在一些示例中,高度h2可为至少约6nm且高度h1可为约14nm至约18nm。在另外的示例中,高度h3可为至少约2 nm且不大于约12nm。金属栅极结构260的其他尺寸也可适用于本实施例。然而,如果高度h3太小,则可能没有足够的空间用于随后沉积胶层(例如胶层272)和金属覆盖层(例如金属覆盖层274)。另一方面,高度h3的上限仅由高度h1和金属栅极结构260的剩余部分的最小的高度h2决定。
99.在操作154(在凹陷的金属栅极结构上方沉积胶层),参考图1b、图11a 和图11b,方法150在沉积工艺304中在结构200上方形成胶层(或粘着层)272。在本实施例中,胶层272包括含氮材料,例如氮化钛(tin)、氮化钽 (tan),或上述的组合。在本实施例中,胶层272共形地沉积在结构上,使其沿着沟槽266的侧壁和底面以及层间介电层232的顶面形成。在一些实施例中,沉积工艺304是原子层沉积(ald)工艺。在一些实施例中,胶层272 形成的厚度约为1nm至约1.5nm。
100.随后,方法150可进行到操作156(执行原子层沉积工艺以在胶层上方形成金属覆盖层,从而填充沟槽)以形成金属覆盖层274,或者可替代地,进行到操作158(执行原子层沉
积工艺以在胶层上方形成金属覆盖层的底部部分) 和操作160(执行化学气相沉积工艺以在胶层上方形成金属覆盖层的顶部部分,从而填充沟槽)以形成金属覆盖层274。
101.在一些实施例中,参考图12a和图12b,方法150在操作156执行沉积工艺306,以在胶层272上方形成金属覆盖层274,从而填充沟槽266,并在层间介电层232的顶面上方形成金属覆盖层274的一部分。在本实施例中,金属覆盖层274包括配置为降低金属栅极结构260的电阻的导电材料。在一些实施例中,金属覆盖层274包括低电阻金属,例如钨(w)。在一些实施例中例如,金属覆盖层274具有与包括在金属栅极结构260中的体导电层相同的成分。在一些实施例中,金属覆盖层274具有不同于包括在金属栅极结构 260中的体导电层的成分。可在本公开中考虑的其他低电阻金属包括铑(rh)、铱(ir)、钌(ru)、钴(co)、铜(cu)、银(ag)、其他合适的金属或上述的组合。在一些实施例中,金属覆盖层274包括其电阻低于金属栅极结构260的体导电层中所包括的金属的金属。
102.在本实施例中,沉积工艺306在整个胶层272上成长金属覆盖层274,包括沟槽266的底面和侧壁表面,从而完全填充沟槽266。在这方面,沉积工艺306不是以自下而上的配置成长金属覆盖层274的选择性沉积工艺。在一些实施例中,形成在沟槽266中的金属覆盖层274的部分由厚度h4定义,上述厚度h4是高度h3(图10b)与胶层272的厚度之间的差。在一些实施例中,胶层272的厚度至少约为1nm,以防止金属覆盖层274和金属栅极结构 260之间的剥离。在一些实施例中,金属覆盖层274由厚度(即,高度h3)定义,上述厚度大于胶层272的厚度。在一些实施例中,厚度h4至少约为1nm 且约小于11nm。此外,仍然如图12b所示,沉积工艺306可导致形成接缝 273,上述接缝273设置在层间介电层232的顶面上方的金属覆盖层274的一部分内,随后通过平坦化工艺将其移除。
103.在本实施例中,沉积工艺306是使用六氟化钨(wf6)作为以下反应i中的前驱物气体的原子层沉积(ald)工艺:
104.b2h6 2wf6→
2w 2bf3 6hf
ꢀꢀꢀ
(反应i),
105.其中乙硼烷(b2h6)是还原气体,氩气(ar)是反应的载气(或吹扫气体)。在一些实施例中,不同的还原气体,例如硅甲烷(sih4),用于在以下反应ii中还原六氟化钨(wf6)以在金属覆盖层274中形成钨(w):
106.sih4 2wf6→
2w sihf6 6hf
ꢀꢀꢀ
(反应ii)。
107.在本实施例中,反应i和反应ii都是提供金属形式的钨(w)的自发反应。在一些实施例中,除了乙硼烷(b2h6)或硅甲烷(sih4)之外,选择性地使用氢气 (h2)作为还原气体,以分别增加反应i或反应ii的速率。然而,注意到单独使用氢气(h2)不会完成本文提供的反应i或反应ii。反应i和反应ii都可在前驱物气体的温度为室温(例如,约20℃至约25℃),工艺温度为约275℃至约300℃,并且工艺压力约为5torr至约30torr进行。其他沉积参数也可适用于本实施例。
108.图13显示可应用于本实施例的原子层沉积(ald)工艺的示例示意图。为了形成包含钨(w)的金属覆盖层274,将前驱物气体(例如六氟化钨(wf6))和还原气体(例如具有可选择氢气(h2)的乙硼烷(b2h6)或硅甲烷(sih4))的交替脉冲施加到原子层沉积(ald)腔室中的结构200,以启动形成钨(w)的反应(例如反应i或反应ii),然后应用吹扫气体(例如氩气(ar))以移除任何反应副产物(例如三氟化硼(bf3)、氟化氢(hf)及/或三氟硅烷(sihf3))。在施加前驱气体和还原气体的每组交替脉冲之后完成一个沉积循环。可重复额外的循环以增
加金属覆盖层274的厚度(例如,厚度h4)。换句话说,金属覆盖层274的厚度随着沉积循环次数的增加而增加。举例来说,如图14所示,在固定处理温度下,将沉积循环的数量从n1增加到n2,将金属覆盖层274的厚度从 h5增加到h6。此外,金属覆盖层274的厚度也随着工艺温度的升高而增加。这可通过分别对应于沉积循环次数n1和n2且为温度函数的每条曲线的上升趋势来证明。然而,在温度t1和温度t2之间的范围内,例如,在大约275℃和大约300℃之间,作为温度函数的厚度变化可忽略不计,这表明此为用以实施沉积工艺306的一个合适工艺温度范围。在一些示例中,沉积循环次数n1为4次时对应的厚度h5约为2nm,且沉积循环次数n2 为10次时对应的厚度h6约为8nm。其他循环次数和厚度也可适用于本实施例。
109.此后,再次参考图12a和图12b,方法150从操作156进行到操作162(执行化学机械平坦化工艺,以平坦化胶层和金属覆盖层),通过执行平坦化工艺308以移除形成在层间介电层232的顶面上方(即,沿着所描绘的虚线)的胶层272和金属覆盖层274的部分,从而完成金属覆盖层274的形成。参考图17a和图17b,平坦化工艺308导致金属覆盖层274的至少一部分与层间介电层232齐平。在本实施例中,平坦化工艺308是化学机械平坦化(cmp) 工艺。
110.在一些实施例中,参考图15a和图15b,方法150从操作154进行到操作158,通过执行沉积工艺310,以在沉积工艺310中形成金属覆盖层274 的第一部分274a。在本实施例中,第一部分274a与参考图12a和图12b的前述详细讨论的金属覆盖层274具有相同的成分。举例来说,第一部分274a 包括例如钨(w)的导电材料。在本实施例中,沉积工艺310是类似于沉积工艺306的原子层沉积(ald)工艺。在这方面,沉积工艺310可采用反应i或反应ii以形成如上面详细讨论的第一部分274a。然而,沉积工艺310与沈积工艺306的不同之处在于沉积工艺310被配置为将第一部分274a形成为籽晶层,其仅部分地而不是完全地填充沟槽266。换句话说,第一部分274a 的厚度小于上面定义的厚度h4。在一些实施例中,第一部分274a形成的厚度h7大于胶层272的厚度。在一些示例中,厚度h7可为约2nm至约3nm。因此,沉积工艺310的持续时间被控制为小于沉积工艺306的持续时间,以确保第一部分274a部分地填充沟槽266。
111.随后,参考图16a和图16b,方法150在操作160在沉积工艺312中在第一部分274a上方形成第二部分274b,从而形成金属覆盖层274以完全填充沟槽266。在一些实施例中,第二部分274b形成的厚度大于厚度h7。在本实施例中,沉积工艺312是化学气相沉积(cvd)工艺,其采用六氟化钨(wf6) 作为以下反应iii中的前驱物气体:
112.3h2 wf6→
w 6hf
ꢀꢀꢀ
(反应iii),
113.其中氢气(h2)是还原气体,氩气(ar)是载气。可在前驱物气体的温度为室温(例如,约20℃至约25℃),工艺温度为约275℃至约300℃,并且工艺压力为约250torr至约300torr执行反应iii。值得注意的是,反应i和反应ii的工艺压力小于反应iii的工艺压力,因为原子层沉积(ald)工艺通常是一种自限制工艺(self-limiting process),因此不需要比化学气相沉积(cvd) 工艺高的工艺压力。其他沉积参数也可适用于本实施例。类似于反应i和反应ii,通过吹扫气体氩气(ar)从沉积腔室中移除反应iii的反应副产物,即氟化氢(hf)。与反应i和反应ii不同,氢气(h2)可用作反应iii的唯一还原气体,以确保沉积过程312以合适的速率进行。
114.在一些实施例中,反应iii的速率小于反应i和反应ii的速率。然而,由于原子层沉积(ald)工艺以逐层的方式进行,反应i或反应ii形成金属覆盖层274的总持续时间可能比
反应iii长。在这方面,对于相对较深的沟槽 266,实施沉积工艺310和沉积工艺312的组合(在操作158和操作160)而不是单独实施沉积工艺306(在操作154)可减少沉积的总持续时间。在一些示例中,如果沟槽266的深度(高度)h3大于约3nm,则实施沉积工艺310和沉积工艺312的组合可能比单独实施沉积工艺306需要更少的时间。然而,应注意,反应i、反应ii和反应iii中的任何一个或上述的组合都适用于本实施例,并且最终金属覆盖层274的成分和结构不随使用的特定沉积工艺而变化。
115.此后,方法150从操作160进行到操作162(执行化学机械平坦化工艺,以平坦化胶层和金属覆盖层),通过执行平坦化工艺314,以移除形成在层间介电层232的顶面上方的胶层272、第一部分274a和第二部分274b的部分,从而完成金属覆盖层274的形成。平坦化工艺314可是类似于平坦化工艺308 的化学机械平坦化(cmp)工艺,因为平坦化工艺314可实施与平坦化工艺308 相似的化学机械平坦化(cmp)研磨浆料,且导致金属覆盖层274的至少一部分与层间介电层232齐平,如图17a和图17b所示。
116.图18a-图18d各自描绘如图17b所示的虚线圈包围的结构200的一部分的实施例。在一些实施例中,参考图18a、图18c和图18d,由于沟槽 266的顶面具有凹形轮廓(参见图10b),即向上弯曲,金属覆盖层274(和胶层272)的底面符合这样的凹形轮廓。在本实施例中,凹形轮廓由相对于水平参考线的角度α定义,其中角度α约大于0度至约50度。在一些实施例中,如图18b所示,角度α约为0度,即胶层272的底面大致平坦。
117.在一些实施例中,参考图18a、图18b和图18d,金属覆盖层274的顶面向下弯曲,即具有凸形轮廓,其中顶面的最高点与顶栅极间隔物222a和层间介电层232的顶面实质上齐平,如水平虚线所示。凸形轮廓可由相对于水平参考线的角度β限定,其中角度β可类似于角度α,即大于约0度至约 50度。弯曲的顶面可能是由于在平坦化工艺308或314期间实施的研磨浆料与金属覆盖层274的侧壁部分的反应程度大于与金属覆盖层274的中心部分的反应,导致在金属覆盖层274与胶层272的界面附近移除更多的金属覆盖层274。如图18d所示,选择性移除可能导致空隙(或气隙)276沿上述界面穿透,且暴露金属覆盖层274的侧壁的上部。在一些实施例中,通过平坦化工艺308或314选择性移除的程度通过调整化学机械平坦化(cmp)工艺的各种参数(包括例如研磨浆料的成分)来控制。在一些实施例中,如图18c所示,金属覆盖层274的顶面与顶栅极间隔物222a和层间介电层232的顶面实质上齐平。
118.在本实施例中,金属覆盖层274为金属栅极结构260和后续形成的栅极接触部件(例如,栅极接触282)之间提供低电阻界面,从而提高结构200的整体装置性能。现有的降低栅极电阻的方法总体上是足够的,但在所有方面并非完全令人满意。在一些示例中,形成金属覆盖层(例如,含钨(w)金属覆盖层)的方法可包括在金属栅极结构正上方执行选择性沉积工艺,以形成自下而上配置的金属覆盖层。然而,如果在沉积过程期间失去选择性,最终覆盖层的品质可能会受到影响,从而对装置性能产生不利影响。此外,选择性沉积工艺可能比例如本文提供的原子层沉积(ald)及/或化学气相沉积(cvd) 工艺的非选择性沉积产生更大的生产成本。
119.此外,在本实施例中,来自反应i、反应ii或反应iii的前驱物(例如六氟化钨(wf6)及/或反应副产物(例如三氟硅烷(sihf3)、三氟化硼(bf3)及/或氟化氢(hf))的悬键的残留氟(f)原子从金属覆盖层274扩散到金属栅极结构 260。图19显示氟(f)的扩散(或浓度分布)的示例示意图,其为从金属覆盖层 274到金属栅极结构260的扩散距离的函数。具体地,
氟原子由于其小原子尺寸而可扩散通过胶层272,并选择性与包含在金属闸电极264的功函数金属层中的铝(al)原子键结。结果,氟原子锚定并稳定了金属闸电极264中的铝(al)原子,从而提高了结构200的可靠性。在一些示例中,氟原子可防止 n型场效晶体管(nfet)中的铝(al)原子扩散到相邻的p型场效晶体管(pfet),这可能无意中影响场效晶体管的临界电压。在本实施例中,一旦达到扩散平衡,氟原子就存在于整个金属闸电极264中,即具有实质上固定的浓度([f])。
[0120]
在本实施例中,金属(例如,含钨(w))覆盖层274的形成是非选择性的。然而,应注意,可通过需要例如五氯化钨的含氯(cl)前驱物的选择性沉积工艺(即,在金属基板上和电介质基板上的成长之间具有明显的孕核期(incubation time)差异),而不是通过本实施例中使用的含氟前驱物六氟化钨 (wf6),来形成含钨覆盖层。由于氯(cl)原子的尺寸大于氟原子,因此氯(cl) 原子通过胶层272的扩散具有更大的障碍,并且可能不容易实现提高装置可靠性的好处。此外,这种选择性沉积工艺可能成本更高,需要额外的处理工艺以避免在沉积工艺期间损失选择性,且与使用例如本实施例讨论的非选择性沉积工艺相比时需要原始成长基板(pristine growth substrate)。
[0121]
在操作162完成金属覆盖层274的形成之后,方法100从操作112进行到操作114(在含钨层上方形成栅极接触),以在金属覆盖层274上方形成栅极接触282,根据图1a和图20a-图22e。
[0122]
参考图20a-图21b,其中图20a和图20b描绘每个鳍204a-204b具有多层结构的实施例,图21a和图21b描绘了每个鳍204a-204b具有均匀组成的实施例,方法100在层间介电层280中形成栅极接触282。在本实施例中,栅极接触282配置为将金属栅极结构260与一个或多个后续形成的内连线结构(例如,导线)连接。在一些实施例中,尽管未描绘,栅极接触件282包括设置在阻挡层上方的体导电层,其中体导电层可包括铜(cu)、钨(w)、铝(al)、钴(co)、钌(ru)、其他合适的材料或上述的组合,且阻挡层可包括钛(ti)、钽 (ta)、氮化钛(tin)、氮化钽(tan)、氮化钨(wn)、其他合适的材料或上述的组合。在一些实施例中,栅极接触282包括额外材料层,例如籽晶层。在一些实施例中,从栅极接触282省略了阻挡层。在一些实施例中,就成分和形成方法而言,层间介电层280与层间介电层232相似或实质上相同。
[0123]
方法100可通过首先在结构200上方形成层间介电层280、在层间介电层280上方形成图案化掩模元件(未描绘)以暴露金属覆盖层274的一部分、随后使用图案化掩模元件作为蚀刻掩模,以暴露接触开口(未描绘)中的金属覆盖层274的一部分,来蚀刻层间介电层,在接触开口中形成栅极接触282 的材料层,并执行一道或多道化学机械平坦化(cmp)工艺,以平坦化结构200 的顶面。在一些实施例中,在形成层间介电层280之前,在结构200上方形成蚀刻停止层(未描绘),其中蚀刻停止层可具有类似于或实质上相同于蚀刻停止层230的成分。栅极接触282的各种材料层可通过包括例如化学气相沉积(cvd)、物理气相沉积(pvd)、原子层沉积(ald)、电镀、其他合适的方法或上述的组合的方法形成。
[0124]
图22a-图22c分别对应于图18a-图18c,并描绘包括金属覆盖层274 和栅极接触282的结构200的一部分的实施例。如前述在图22a-图22c中的详细讨论,金属覆盖层274包括弯曲底面和弯曲顶面的各种特征。在这方面,参考图22a和图22b,层间介电层280符合金属覆盖层274的弯曲顶面。图22d和图22e都对应于图18d,在图18d中,由于平坦化工艺308或平坦化工艺314,空隙276沿金属覆盖层274的侧壁形成。在一些实施例中,如图22d所示,层
间介电层280形成在金属覆盖层274的弯曲顶面上方,但不形成为填充或实质上填充空隙276。在一些实施例中,如图22e所示,层间介电层280形成在金属覆盖层274的弯曲顶面上方,且部分地填充或穿透空隙276,如用虚线圈包围的层间介电层280的一部分所示。
[0125]
之后,方法100在操作116(执行多个额外操作)中对结构200执行额外的工艺,例如在其上形成多层内连线(mli)结构(未描绘)。多层内连线(mli) 可包括设置在例如蚀刻停止层和层间介电层的介电层中的各种内连线部件,例如通孔和导线。在一些实施例中,通孔是垂直内连线部件,其配置为将装置级接触,例如源/漏极(s/d)接触(未描绘)或栅极接触282,内部连接至导线或内部连接至不同的导线,上述导线是水平内连线部件。多层内连线的蚀刻停止层和层间介电层可具有与前述分别关于蚀刻停止层230和层间介电层 232所讨论的那些实质上相同的成分。通孔和导线可各自包括任何合适的导电材料,例如钴(co)、钨(w)、钌(ru)、铜(cu)、铝(al)、钛(ti)、镍(ni)、金 (au)、铂(pt)、钯(pd)、金属硅化物、其他合适的导电材料或上述的组合,并且通过一系列图案化和沈积工艺形成。另外,每个通孔和导线可另外包括包含氮化钛(tin)及/或氮化钽(tan)的阻挡层。
[0126]
不同的实施例可提供不同的益处,并且并非所有的益处对于任何特定的实施例都是必需的。本公开提供了在金属栅极结构的顶面上方的金属覆盖层,以降低金属栅极结构的整体栅极电阻(rg)的方法。在本实施例中,金属覆盖层包括低电阻金属,例如钨(w)。在一些实施例中,首先在金属栅极结构上方形成胶层以容纳金属覆盖层的形成。在一些实施例中,金属覆盖层的形成在原子层沉积(ald)及/或原子层沉积(ald)工艺期间使用例如六氟化钨 (wf6)的含氟前驱物来实施。在进一步的实施例中,来自沉积工艺的残留氟原子扩散到下面的金属栅极结构中,并且提供了装置可靠度的改善。举例来说,本公开的方法和结构可应用于包括鳍式场效晶体管(finfet)和纳米片场效晶体管(ns fet)的三维装置。
[0127]
在一方面中,本实施例提供一种半导体结构,半导体结构包括从基板突出的半导体鳍;栅极结构,与半导体鳍接合。半导体结构还包括层间电介质 (ild)层,设置在基板上方并相邻栅极结构,其中栅极结构的顶面低于层间介电层的顶面;第一金属层,直接接触栅极结构的顶面;第二金属层设置在第一金属层上方,其中第一金属层设置在第二金属层的底面和侧壁表面上,其中第二金属层的底面具有凹形轮廓,且其中第二金属层在成分上不同于第一金属层;以及栅极接触,设置在第二金属层上方。
[0128]
在一些实施例中,第二金属层的顶面具有凸形轮廓。
[0129]
在一些实施例中,还包括第二层间介电层,设置在第二金属层上方,其中第二层间介电层的一部分设置在第一金属层的一侧壁和第二金属层的侧壁之间。
[0130]
在一些实施例中,第一金属层与第二金属层的底面所形成的角度大于0 度且小于50度。
[0131]
在一些实施例中,第一金属层包括氮化钛(tin)、氮化钽(tan)或上述的组合。
[0132]
在一些实施例中,第二金属层包括钨(w)。
[0133]
在一些实施例中,还包括气隙,设置于第一金属层的侧壁与第二金属层的侧壁之间。
[0134]
在一些实施例中,第二金属层的至少一部分具有与层间介电层的顶面齐平的顶面。
[0135]
在另一方面中,本实施例提供一种半导体结构,半导体结构包括从半导体基板延
伸的鳍;栅极堆叠,设置在鳍的通道区上方;胶层,接触栅极堆叠的顶面;含钨覆盖层,设置在胶层上方,其中胶层围绕含钨覆盖层的底部和侧壁表面。半导体结构还包括接触部件,设置在含钨覆盖层的顶面上。
[0136]
在一些实施例中,鳍包括多个半导体层,且其中栅极堆叠的底部与些半导体层交错。
[0137]
在一些实施例中,含钨覆盖层和栅极堆叠两者包括多个氟(f)原子。
[0138]
在一些实施例中,多个氟原子选择性与栅极堆叠中的铝(al)键结。
[0139]
在一些实施例中,胶层的厚度小于含钨覆盖层的厚度。
[0140]
在一些实施例中,含钨覆盖层配置为具有弯曲顶面。
[0141]
在一些实施例中,半导体结构还包括层间介电(ild)层,位于含钨覆盖层上方,其中接触部件设置在层间介电层中;以及气隙,由层间介电层、胶层和含钨覆盖层包围。
[0142]
在又一方面中,本实施例提供一种半导体结构的制造方法,方法包括形成从半导体基板突出的鳍;在鳍中形成源/漏极部件;在鳍上方形成金属栅极结构,且金属栅极结构插入源/漏极部件之间;凹陷金属栅极结构以形成沟槽;沉积第一金属层以部分填充沟槽;沉积第二金属层以完全填充沟槽,其中第二金属层在成分上与第一金属层不同,且其中第二金属层包括钨(w);以及平坦化第二金属层。
[0143]
在一些实施例中,沉积第一金属层包括在沟槽中共形地沉积第一金属层,且其中第一金属层包括氮化钛(tin)、氮化钽(tan)或上述的组合。
[0144]
在一些实施例中,沉积第二金属层包括执行原子层沉积(ald)工艺以完全填充沟槽,且其中原子层沉积工艺采用六氟化钨(wf6)作为前驱物。
[0145]
在一些实施例中,沉积第二金属层包括执行原子层沉积(ald)工艺,以在第一金属层上方形成第二金属层的第一部分,其中原子层沉积工艺采用六氟化钨(wf6)作为前驱物;以及执行化学气相沉积(cvd)工艺,以在第一部分上方形成第二部分,其中化学气相沉积工艺使用与原子层沉积工艺不同的还原气体,且其中第二部分的厚度大于第一部分的厚度。
[0146]
在一些实施例中,平坦化第二金属层导致第一金属层和第二金属层之间的气隙,半导体结构的制造方法还包括在第二金属层上方形成层间介电(ild) 层,其中层间介电层部分穿透气隙。
[0147]
以上概述数个实施例的特征,以使所属技术领域中技术人员可以更加理解本公开实施例的观点。所属技术领域中技术人员应理解,可轻易地以本公开实施例为基础,设计或修改其他工艺和结构,以达到与在此介绍的实施例相同的目的及/或优势。在所属技术领域中技术人员也应理解,此类均等的结构并无悖离本公开的精神与范围,且可在不违背本公开的精神和范围下,做各式各样的改变、取代和替换。
再多了解一些

本文用于创业者技术爱好者查询,仅供学习研究,如用于商业用途,请联系技术所有人。

发表评论 共有条评论
用户名: 密码:
验证码: 匿名发表

相关文献